Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. -------------------------------------------------------------------------------------- | Tool Version : Vivado v.2020.2 (win64) Build 3064766 Wed Nov 18 09:12:45 MST 2020 | Date : Sat Mar 13 01:45:23 2021 | Host : baby running 64-bit major release (build 9200) | Command : report_control_sets -verbose -file ngFEC_top_control_sets_placed.rpt | Design : ngFEC_top | Device : xcku115 -------------------------------------------------------------------------------------- Control Set Information Table of Contents ----------------- 1. Summary 2. Histogram 3. Flip-Flop Distribution 4. Detailed Control Set Information 1. Summary ---------- +----------------------------------------------------------+-------+ | Status | Count | +----------------------------------------------------------+-------+ | Total control sets | 21567 | | Minimum number of control sets | 21365 | | Addition due to synthesis replication | 0 | | Addition due to physical synthesis replication | 202 | | Unused register locations in slices containing registers | 22556 | +----------------------------------------------------------+-------+ * Control sets can be merged at opt_design using control_set_merge or merge_equivalent_drivers ** Run report_qor_suggestions for automated merging and remapping suggestions 2. Histogram ------------ +--------------------+-------+ | Fanout | Count | +--------------------+-------+ | Total control sets | 21567 | | >= 0 to < 4 | 4132 | | >= 4 to < 6 | 2958 | | >= 6 to < 8 | 1476 | | >= 8 to < 10 | 1887 | | >= 10 to < 12 | 2299 | | >= 12 to < 14 | 1580 | | >= 14 to < 16 | 691 | | >= 16 | 6544 | +--------------------+-------+ * Control sets can be remapped at either synth_design or opt_design 3. Flip-Flop Distribution ------------------------- +--------------+-----------------------+------------------------+-----------------+--------------+ | Clock Enable | Synchronous Set/Reset | Asynchronous Set/Reset | Total Registers | Total Slices | +--------------+-----------------------+------------------------+-----------------+--------------+ | No | No | No | 69113 | 17917 | | No | No | Yes | 14449 | 7900 | | No | Yes | No | 5842 | 2448 | | Yes | No | No | 63255 | 19821 | | Yes | No | Yes | 194391 | 38866 | | Yes | Yes | No | 41662 | 10791 | +--------------+-----------------------+------------------------+-----------------+--------------+ 4. Detailed Control Set Information ----------------------------------- +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------------+----------------+--------------+ | Clock Signal | Enable Signal | Set/Reset Signal | Slice Load Count | Bel Load Count | Bels / Slice | +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------------+----------------+--------------+ | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[2].rx_clken_sr_reg[2][3]_0[0] | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[7].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[7].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[17].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[17].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[23].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[23].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[4].rx_clken_sr_reg[4][3]_0[0] | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[4].rx_clken_sr_reg[4][3]_0[0] | ctrl_regs_inst/regs_reg[6][15]_0[8] | 1 | 1 | 1.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[26].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[26].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[8].rx_clken_sr_reg[8][3]_0[0] | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[6] | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[1].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[1].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[0].rx_clken_sr_reg[0][3]_0[0] | ctrl_regs_inst/regs_reg[6][15]_0[4] | 1 | 1 | 1.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[34].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[34].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[5].rx_clken_sr_reg[5][3]_0[0] | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[1] | ctrl_regs_inst/regs_reg[5][31]_0[1] | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[47].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[47].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[1] | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[11].rx_clken_sr_reg[11][3]_0[0] | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[16].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[16].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[0].rx_clken_sr_reg[0][3]_0[0] | ctrl_regs_inst/regs_reg[5][31]_0[24] | 1 | 1 | 1.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[5].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[5].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[5] | ctrl_regs_inst/regs_reg[5][31]_0[5] | 1 | 1 | 1.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[0].rx_clken_sr_reg[0][3]_0[0] | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[0].rx_clken_sr_reg[0][3]_0[0] | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[4].rx_clken_sr_reg[4][3]_0[0] | ctrl_regs_inst/regs_reg[5][31]_0[16] | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[11].rx_clken_sr_reg[11][3]_0[0] | ctrl_regs_inst/regs_reg[6][15]_0[15] | 1 | 1 | 1.00 | | i_tcds2_if/i_mgt_wrapper/i_rxusrclk/bbstub_rxoutclk_out[0] | | i_tcds2_if/cmp_lpgbtfpga_uplink/lpgbtfpga_framealigner_inst/resetOnEven_gen.sta_headerLocked_o_bit_synchronizer/i_in_out | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[6].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[6].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[40].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[40].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[3].rx_clken_sr_reg[3][3]_0[0] | ctrl_regs_inst/regs_reg[5][31]_0[27] | 1 | 1 | 1.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[3].rx_clken_sr_reg[3][3]_0[0] | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[41].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[41].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[31].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[31].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | i_tcds2_if/i_mgt_wrapper/i_rxusrclk/bbstub_rxoutclk_out[0] | i_tcds2_if/cmp_lpgbtfpga_uplink/lpgbtfpga_framealigner_inst/timer0 | i_tcds2_if/cmp_lpgbtfpga_uplink/lpgbtfpga_framealigner_inst/clkSlipProcess.timer[5]_i_1_n_0 | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[5].rx_clken_sr_reg[5][3]_0[0] | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[4].rx_clken_sr_reg[4][3]_0[0] | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[5] | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | i_tcds2_if/i_mgt_wrapper/i_rxusrclk/bbstub_rxoutclk_out[0] | i_tcds2_if/i_mgt_wrapper/i_mgt/inst/gen_gtwizard_gthe3_top.ttc_mgt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_buffer_bypass_internal.gen_single_instance.gtwiz_buffbypass_rx_inst/gen_gtwiz_buffbypass_rx_main.gen_auto_mode.reset_synchronizer_resetdone_inst/FSM_sequential_gen_gtwiz_buffbypass_rx_main.gen_auto_mode.sm_buffbypass_rx_reg[0] | i_tcds2_if/i_mgt_wrapper/i_buffbypass_rx_reset/gtwiz_buffbypass_rx_reset_in[0] | 1 | 1 | 1.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[32].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[32].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | i_tcds2_if/i_mgt_wrapper/i_txusrclk/bbstub_txoutclk_out[0] | | | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[1].rx_clken_sr_reg[1][3]_0[0] | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[1].rx_clken_sr_reg[1][3]_0[0] | ctrl_regs_inst/regs_reg[5][31]_0[25] | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | DRPclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 1 | 1.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[7] | ctrl_regs_inst/regs_reg[5][31]_0[7] | 1 | 1 | 1.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[7].rx_clken_sr_reg[7][3]_0[0] | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[3].rx_clken_sr_reg[3][3]_0[0] | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[6].rx_clken_sr_reg[6][3]_0[0] | ctrl_regs_inst/regs_reg[5][31]_0[18] | 1 | 1 | 1.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[7].rx_clken_sr_reg[7][3]_0[0] | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | ctrl_regs_inst/regs_reg[5][31]_0[17] | 1 | 1 | 1.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[45].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[45].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[9].rx_clken_sr_reg[9][3]_0[0] | ctrl_regs_inst/regs_reg[6][15]_0[13] | 1 | 1 | 1.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | ctrl_regs_inst/regs_reg[5][31]_0[19] | 1 | 1 | 1.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[19].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[19].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[6] | ctrl_regs_inst/regs_reg[5][31]_0[6] | 1 | 1 | 1.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | ctrl_regs_inst/regs_reg[5][31]_0[28] | 1 | 1 | 1.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[18].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[18].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[28].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[28].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[5].rx_clken_sr_reg[5][3]_0[0] | ctrl_regs_inst/regs_reg[5][31]_0[29] | 1 | 1 | 1.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[7] | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[6].rx_clken_sr_reg[6][3]_0[0] | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[8] | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[4].rx_clken_sr_reg[4][3]_0[0] | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[11].rx_clken_sr_reg[11][3]_0[0] | ctrl_regs_inst/regs_reg[6][15]_0[3] | 1 | 1 | 1.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[2].rx_clken_sr_reg[2][3]_0[0] | ctrl_regs_inst/regs_reg[6][15]_0[6] | 1 | 1 | 1.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[10].rx_clken_sr_reg[10][3]_0[0] | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[8].rx_clken_sr_reg[8][3]_0[0] | ctrl_regs_inst/regs_reg[5][31]_0[20] | 1 | 1 | 1.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[20].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[20].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[38].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[38].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | clk250 | g_clock_rate_din[10].i_rate_ngccm_status0/E[0]_repN_5 | | 1 | 1 | 1.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[8].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[8].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[8] | ctrl_regs_inst/regs_reg[5][31]_0[8] | 1 | 1 | 1.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[39].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[39].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[10].rx_clken_sr_reg[10][3]_0[0] | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[9].rx_clken_sr_reg[9][3]_0[0] | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[29].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[29].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[25].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[25].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[46].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[46].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[24].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[24].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[3].rx_clken_sr_reg[3][3]_0[0] | ctrl_regs_inst/regs_reg[6][15]_0[7] | 1 | 1 | 1.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[8].rx_clken_sr_reg[8][3]_0[0] | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[8].rx_clken_sr_reg[8][3]_0[0] | ctrl_regs_inst/regs_reg[6][15]_0[0] | 1 | 1 | 1.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[21].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[21].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[10].rx_clken_sr_reg[10][3]_0[0] | ctrl_regs_inst/regs_reg[6][15]_0[14] | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/mgtRxReset_s0__0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN_repN_43 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN_repN_43 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN_repN_43 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN_repN_43 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN_repN_43 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN_repN_49 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbt_txreset_s[0] | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN_repN_56 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN_repN_56 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN_repN_53 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN_repN_60 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN_repN_60 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN_repN_60 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN_repN_60 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN_repN_60 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN_repN_1 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN_repN_1 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN_repN_1 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN_repN_1 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN_repN_1 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN_repN_1 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN_repN_1 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN_repN_4 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN_repN_4 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN_repN_4 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN_repN_4 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN_repN_4 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN_repN_4 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN_repN_4 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN_repN_6 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN_repN_5 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN_repN_5 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN_repN_5 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN_repN_5 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN_repN_5 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN_repN_25 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN_repN_33 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN_repN_40 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN_repN_40 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN_repN_36 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN_repN_38 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN_repN_38 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN_repN_41 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | tx_wordclk | TX_CLKEN_repN_48 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/gbtTxReset_s0 | 1 | 1 | 1.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[3].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[3].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[3] | ctrl_regs_inst/regs_reg[5][31]_0[3] | 1 | 1 | 1.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[3] | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[2].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[2].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[2] | ctrl_regs_inst/regs_reg[5][31]_0[2] | 1 | 1 | 1.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[2] | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[8].rx_clken_sr_reg[8][3]_0[0] | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[8].rx_clken_sr_reg[8][3]_0[0] | ctrl_regs_inst/regs_reg[6][15]_0[12] | 1 | 1 | 1.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[0].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[0].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[12].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[12].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[0] | ctrl_regs_inst/regs_reg[5][31]_0[0] | 1 | 1 | 1.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[33].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[33].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[0] | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[9].rx_clken_sr_reg[9][3]_0[0] | ctrl_regs_inst/regs_reg[5][31]_0[21] | 1 | 1 | 1.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[0].rx_clken_sr_reg[0][3]_0[0] | ctrl_regs_inst/regs_reg[5][31]_0[12] | 1 | 1 | 1.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[0].rx_clken_sr_reg[0][3]_0[0] | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[9].rx_clken_sr_reg[9][3]_0[0] | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[9].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[9].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[9] | ctrl_regs_inst/regs_reg[5][31]_0[9] | 1 | 1 | 1.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[44].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[44].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[36].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[36].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | ctrl_regs_inst/regs_reg[5][31]_0[13] | 1 | 1 | 1.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[22].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[22].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[30].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[30].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[7].rx_clken_sr_reg[7][3]_0[0] | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[7].rx_clken_sr_reg[7][3]_0[0] | ctrl_regs_inst/regs_reg[6][15]_0[11] | 1 | 1 | 1.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[1].rx_clken_sr_reg[1][3]_0[0] | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[9].rx_clken_sr_reg[9][3]_0[0] | ctrl_regs_inst/regs_reg[6][15]_0[1] | 1 | 1 | 1.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[13].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[13].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[1].rx_clken_sr_reg[1][3]_0[0] | ctrl_regs_inst/regs_reg[6][15]_0[5] | 1 | 1 | 1.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[43].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[43].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[9] | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[2].rx_clken_sr_reg[2][3]_0[0] | ctrl_regs_inst/regs_reg[5][31]_0[26] | 1 | 1 | 1.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[11].rx_clken_sr_reg[11][3]_0[0] | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[6].rx_clken_sr_reg[6][3]_0[0] | ctrl_regs_inst/regs_reg[5][31]_0[30] | 1 | 1 | 1.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[1].rx_clken_sr_reg[1][3]_0[0] | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[2].rx_clken_sr_reg[2][3]_0[0] | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[6].rx_clken_sr_reg[6][3]_0[0] | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[10].rx_clken_sr_reg[10][3]_0[0] | ctrl_regs_inst/regs_reg[5][31]_0[22] | 1 | 1 | 1.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[6].rx_clken_sr_reg[6][3]_0[0] | ctrl_regs_inst/regs_reg[6][15]_0[10] | 1 | 1 | 1.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | ctrl_regs_inst/regs_reg[5][31]_0[14] | 1 | 1 | 1.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[10].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[10].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[35].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[35].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[10] | ctrl_regs_inst/regs_reg[5][31]_0[10] | 1 | 1 | 1.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[10] | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[14].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[14].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[9].rx_clken_sr_reg[9][3]_0[0] | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[10].rx_clken_sr_reg[10][3]_0[0] | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[6].rx_clken_sr_reg[6][3]_0[0] | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[42].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[42].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[37].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[37].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[11].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[11].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[2].rx_clken_sr_reg[2][3]_0[0] | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[11] | ctrl_regs_inst/regs_reg[5][31]_0[11] | 1 | 1 | 1.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[11] | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | ctrl_regs_inst/regs_reg[5][31]_0[31] | 1 | 1 | 1.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[4].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[4].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[15].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[15].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[4] | ctrl_regs_inst/regs_reg[5][31]_0[4] | 1 | 1 | 1.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[27].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[27].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 1 | 1 | 1.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[5].rx_clken_sr_reg[5][3]_0[0] | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[3].rx_clken_sr_reg[3][3]_0[0] | ctrl_regs_inst/regs_reg[5][31]_0[15] | 1 | 1 | 1.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[11].rx_clken_sr_reg[11][3]_0[0] | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | ctrl_regs_inst/regs_reg[5][31]_0[23] | 1 | 1 | 1.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[4] | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[5].rx_clken_sr_reg[5][3]_0[0] | ctrl_regs_inst/regs_reg[6][15]_0[9] | 1 | 1 | 1.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | ctrl_regs_inst/regs_reg[6][15]_0[2] | 1 | 1 | 1.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[3].rx_clken_sr_reg[3][3]_0[0] | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbtRxReset_s0 | 1 | 1 | 1.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__297_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].patternSearch/FSM_sequential_state[1]_i_1__17_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__39_n_0 | | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_init/timer_clr__0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__338_n_0 | | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_init/timer_clr__0 | 1 | 2 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[6] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_init/timer_clr__0 | 1 | 2 | 2.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_init/timer_clr__0 | 2 | 2 | 1.00 | | DRPclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_init/timer_clr__0 | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_init/timer_clr__0 | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_init/timer_clr__0 | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_init/timer_clr__0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__0_n_0 | SFP_GEN[14].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_init/timer_clr__0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__339_n_0 | | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_init/timer_clr__0 | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__3_n_0 | | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_init/timer_clr__0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__458_n_0 | | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_init/timer_clr__0 | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__277_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__21_n_0 | SFP_GEN[23].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__475_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__340_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].patternSearch/FSM_sequential_state[1]_i_1__24_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1_n_0 | SFP_GEN[13].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__264_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__377_n_0 | | 1 | 2 | 2.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].patternSearch/FSM_sequential_state[1]_i_1__27_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | DRPclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_init/FSM_sequential_sm_init[1]_i_1__9_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__341_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].patternSearch/FSM_sequential_state[1]_i_1__38_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].patternSearch/FSM_sequential_state[1]_i_1__35_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | DRPclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_init/FSM_sequential_sm_init[1]_i_1__6_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__263_n_0 | | 1 | 2 | 2.00 | | DRPclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_init/FSM_sequential_sm_init[1]_i_1__7_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | DRPclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_init/FSM_sequential_sm_init[1]_i_1__8_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | DRPclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_init/FSM_sequential_sm_init[1]_i_1__5_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | DRPclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_init/FSM_sequential_sm_init[1]_i_1__2_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[6].rx_clken_sr_reg[6][3]_0[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | DRPclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_init/FSM_sequential_sm_init[1]_i_1__3_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1_n_0 | SFP_GEN[13].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 2 | 1.00 | | DRPclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_init/FSM_sequential_sm_init[1]_i_1__4_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | DRPclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_init/FSM_sequential_sm_init[1]_i_1__10_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__376_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__26_n_0 | SFP_GEN[0].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | DRPclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_init/FSM_sequential_sm_init[1]_i_1__11_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__457_n_0 | | 1 | 2 | 2.00 | | DRPclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_init/FSM_sequential_sm_init[1]_i_1__1_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | DRPclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_init/FSM_sequential_sm_init[1]_i_1__0_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__375_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__262_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].patternSearch/FSM_sequential_state[1]_i_1__5_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__20_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__26_n_0 | SFP_GEN[0].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__261_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__374_n_0 | | 1 | 2 | 2.00 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 2 | 2 | 1.00 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip_i_1__17_n_0 | 1 | 2 | 2.00 | | DRPclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_init/FSM_sequential_sm_init[1]_i_1__14_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].patternSearch/FSM_sequential_state[1]_i_1__31_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | DRPclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_init/FSM_sequential_sm_init[1]_i_1__12_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__271_n_0 | | 1 | 2 | 2.00 | | DRPclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_init/FSM_sequential_sm_init[1]_i_1__22_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__298_n_0 | | 1 | 2 | 2.00 | | DRPclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_init/FSM_sequential_sm_init[1]_i_1__23_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 2 | 2 | 1.00 | | DRPclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_init/FSM_sequential_sm_init[1]_i_1__13_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | DRPclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_init/FSM_sequential_sm_init[1]_i_1__15_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__371_n_0 | | 1 | 2 | 2.00 | | DRPclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_init/FSM_sequential_sm_init[1]_i_1__16_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__464_n_0 | | 1 | 2 | 2.00 | | DRPclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_init/FSM_sequential_sm_init[1]_i_1__17_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | DRPclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_init/FSM_sequential_sm_init[1]_i_1__18_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip_i_1__35_n_0 | 1 | 2 | 2.00 | | DRPclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_init/FSM_sequential_sm_init[1]_i_1__19_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | DRPclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_init/FSM_sequential_sm_init[1]_i_1__20_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | DRPclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_init/FSM_sequential_sm_init[1]_i_1__21_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__270_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__462_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__38_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip_i_1__6_n_0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__8_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[1].rx_clken_sr_reg[1][3]_0[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__370_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__260_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__299_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__474_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__369_n_0 | | 1 | 2 | 2.00 | | DRPclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_init/FSM_sequential_sm_init[1]_i_1__28_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | DRPclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_init/FSM_sequential_sm_init[1]_i_1__24_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[2].rx_clken_sr_reg[2][3]_0[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | DRPclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_init/FSM_sequential_sm_init[1]_i_1__34_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].patternSearch/FSM_sequential_state[1]_i_1__18_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 2 | 2 | 1.00 | | DRPclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_init/FSM_sequential_sm_init[1]_i_1__35_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__463_n_0 | | 1 | 2 | 2.00 | | DRPclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_init/FSM_sequential_sm_init[1]_i_1__25_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | clk250 | | stat_regs_inst/i_cntr_rst_ctrl/SR[32]_i_1_n_0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__19_n_0 | | 1 | 2 | 2.00 | | DRPclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_init/FSM_sequential_sm_init[1]_i_1__26_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | DRPclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_init/FSM_sequential_sm_init[1]_i_1__27_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__27_n_0 | SFP_GEN[26].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | DRPclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_init/FSM_sequential_sm_init[1]_i_1__29_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | DRPclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_init/FSM_sequential_sm_init[1]_i_1__30_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__27_n_0 | SFP_GEN[26].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | DRPclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_init/FSM_sequential_sm_init[1]_i_1__31_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_init/reset_synchronizer_reset_all_inst/rst_out | 2 | 2 | 1.00 | | DRPclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_init/FSM_sequential_sm_init[1]_i_1__32_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__429_n_0 | | 1 | 2 | 2.00 | | DRPclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_init/FSM_sequential_sm_init[1]_i_1__33_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__379_n_0 | | 1 | 2 | 2.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__353_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__37_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__517_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__512_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[7].rx_clken_sr_reg[7][3]_0[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__452_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__468_n_0 | | 1 | 2 | 2.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__42_n_0 | SFP_GEN[22].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | DRPclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_init/FSM_sequential_sm_init[1]_i_1__36_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__328_n_0 | | 1 | 2 | 2.00 | | DRPclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_init/FSM_sequential_sm_init[1]_i_1__46_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | DRPclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_init/FSM_sequential_sm_init[1]_i_1__47_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 2 | 2 | 1.00 | | DRPclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_init/FSM_sequential_sm_init[1]_i_1__37_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__378_n_0 | | 1 | 2 | 2.00 | | DRPclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_init/FSM_sequential_sm_init[1]_i_1__44_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__327_n_0 | | 1 | 2 | 2.00 | | DRPclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_init/FSM_sequential_sm_init[1]_i_1__38_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__351_n_0 | | 1 | 2 | 2.00 | | DRPclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_init/FSM_sequential_sm_init[1]_i_1__39_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__469_n_0 | | 1 | 2 | 2.00 | | DRPclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_init/FSM_sequential_sm_init[1]_i_1__40_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__329_n_0 | | 1 | 2 | 2.00 | | DRPclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_init/FSM_sequential_sm_init[1]_i_1__41_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__352_n_0 | | 1 | 2 | 2.00 | | DRPclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_init/FSM_sequential_sm_init[1]_i_1__42_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | DRPclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_init/FSM_sequential_sm_init[1]_i_1__43_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__300_n_0 | | 1 | 2 | 2.00 | | DRPclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_init/FSM_sequential_sm_init[1]_i_1__45_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_init/reset_synchronizer_reset_all_inst/rst_out | 2 | 2 | 1.00 | | clk250 | g_clock_rate_din[15].i_rate_ngccm_status0/E[0]_repN_6 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__25_n_0 | SFP_GEN[31].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | CLKFBIN | | eth/phy/U0/gig_ethernet_pcs_pma_0_core/gpcs_pma_inst/MGT_RESET.SYNC_ASYNC_RESET/reset_sync6_0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__22_n_0 | SFP_GEN[17].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__42_n_0 | SFP_GEN[22].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__25_n_0 | SFP_GEN[31].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__404_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__22_n_0 | SFP_GEN[17].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__368_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__470_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__18_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__513_n_0 | | 1 | 2 | 2.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__36_n_0 | | 1 | 2 | 2.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 2 | 2 | 1.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[8].rx_clken_sr_reg[8][3]_0[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__414_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__471_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__44_n_0 | SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__293_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip_i_1__18_n_0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__44_n_0 | SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | CLKFBIN | | i_tcds2_if/i_mgt_wrapper/i_mgt_init/timer_clr | 2 | 2 | 1.00 | | CLKFBIN | | ctrl_regs_inst/AR[0] | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__430_n_0 | | 1 | 2 | 2.00 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__557_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__415_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__405_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__406_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__197_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].patternSearch/FSM_sequential_state[1]_i_1__45_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__56_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__555_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__472_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__556_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__280_n_0 | | 1 | 2 | 2.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | CLKFBIN | | ipb/udp_if/status_buffer/async_history_block.written_i_1_n_0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__407_n_0 | | 1 | 2 | 2.00 | | CLKFBIN | | ipb/udp_if/tx_main/udp_send_data.send_special_int_i_1_n_0 | 2 | 2 | 1.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[4].rx_clken_sr_reg[4][3]_0[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__349_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__553_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__408_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__554_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__409_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__533_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__34_n_0 | SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__473_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__196_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__410_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__551_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__411_n_0 | | 1 | 2 | 2.00 | | CLKFBIN | eth/phy/U0/gig_ethernet_pcs_pma_0_core/gpcs_pma_inst/IS_2_5G_DISABLED_PRE_SHRINK.TRANSMITTER/CONFIG_DATA[3] | eth/phy/U0/gig_ethernet_pcs_pma_0_core/gpcs_pma_inst/MGT_TX_RESET | 1 | 2 | 2.00 | | CLKFBIN | eth/phy/U0/gig_ethernet_pcs_pma_0_core/gpcs_pma_inst/RX_GMII_AT_TXOUTCLK.SYNCHRONISATION/GOOD_CGS[1]_i_2_n_0 | eth/phy/U0/gig_ethernet_pcs_pma_0_core/gpcs_pma_inst/RX_GMII_AT_TXOUTCLK.SYNCHRONISATION/GOOD_CGS[1]_i_1_n_0 | 1 | 2 | 2.00 | | CLKFBIN | eth/phy/U0/gig_ethernet_pcs_pma_0_core/gpcs_pma_inst/RX_GMII_AT_TXOUTCLK.SYNCHRONISATION/ENCOMMAALIGN_i_2_n_0 | eth/phy/U0/gig_ethernet_pcs_pma_0_core/gpcs_pma_inst/RX_GMII_AT_TXOUTCLK.SYNCHRONISATION/SYNC_STATUS0 | 1 | 2 | 2.00 | | CLKFBIN | eth/mac/i_mac/i_rx_CRC32D8/byte_cnt | eth/mac/i_mac/ce | 1 | 2 | 2.00 | | CLKFBIN | eth/mac/i_mac/i_tx_CRC32D8/byte_cnt | eth/mac/i_mac/init | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__552_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__281_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__37_n_0 | SFP_GEN[18].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__37_n_0 | SFP_GEN[18].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__14_n_0 | SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip_i_1__26_n_0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__549_n_0 | | 1 | 2 | 2.00 | | CLKFBIN | eth/mac/i_mac/tx_header0 | ipb/udp_if/tx_main/SR[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__412_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__550_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip_i_2__46_n_0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__350_n_0 | | 1 | 2 | 2.00 | | CLKFBIN | eth/mac/i_mac/sel_FCS[2] | eth/mac/i_mac/sel_FCS[1]_i_1_n_0 | 1 | 2 | 2.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__14_n_0 | SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[7] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__413_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/gtwiz_userclk_rx_reset_in | 1 | 2 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].patternSearch/FSM_sequential_state[1]_i_1__6_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__32_n_0 | SFP_GEN[32].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__195_n_0 | | 1 | 2 | 2.00 | | CLKFBIN | i_tcds2_if/i_mgt_wrapper/i_mgt_init/FSM_sequential_sm_init[1]_i_1_n_0 | i_tcds2_if/i_mgt_wrapper/i_mgt_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__558_n_0 | | 1 | 2 | 2.00 | | CLKFBIN | ipb/udp_if/internal_ram_selector/send_pending_i[0] | rst_clk125 | 1 | 2 | 2.00 | | CLKFBIN | ipb/udp_if/internal_ram_selector/send_pending_i[1] | rst_clk125 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__32_n_0 | SFP_GEN[32].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__224_n_0 | | 1 | 2 | 2.00 | | CLKFBIN | ipb/udp_if/rx_ram_selector/send_pending_i[0] | rst_clk125 | 2 | 2 | 1.00 | | CLKFBIN | ipb/udp_if/rx_ram_selector/send_pending_i[12] | rst_clk125 | 2 | 2 | 1.00 | | CLKFBIN | ipb/udp_if/rx_ram_selector/send_pending_i[5] | rst_clk125 | 2 | 2 | 1.00 | | CLKFBIN | ipb/udp_if/rx_ram_selector/send_pending_i[11] | rst_clk125 | 2 | 2 | 1.00 | | CLKFBIN | ipb/udp_if/rx_ram_selector/send_pending_i[10] | rst_clk125 | 2 | 2 | 1.00 | | CLKFBIN | ipb/udp_if/rx_ram_selector/send_pending_i[9] | rst_clk125 | 2 | 2 | 1.00 | | CLKFBIN | ipb/udp_if/rx_ram_selector/send_pending_i[7] | rst_clk125 | 2 | 2 | 1.00 | | CLKFBIN | ipb/udp_if/rx_ram_selector/send_pending_i[14] | rst_clk125 | 2 | 2 | 1.00 | | CLKFBIN | ipb/udp_if/rx_ram_selector/send_pending_i[4] | rst_clk125 | 2 | 2 | 1.00 | | CLKFBIN | ipb/udp_if/rx_ram_selector/send_pending_i[1] | rst_clk125 | 2 | 2 | 1.00 | | CLKFBIN | ipb/udp_if/rx_ram_selector/send_pending_i[8] | rst_clk125 | 2 | 2 | 1.00 | | CLKFBIN | ipb/udp_if/rx_ram_selector/send_pending_i[15] | rst_clk125 | 2 | 2 | 1.00 | | CLKFBIN | ipb/udp_if/rx_ram_selector/send_pending_i[13] | rst_clk125 | 2 | 2 | 1.00 | | CLKFBIN | ipb/udp_if/rx_ram_selector/send_pending_i[3] | rst_clk125 | 2 | 2 | 1.00 | | CLKFBIN | ipb/udp_if/rx_ram_selector/send_pending_i[6] | rst_clk125 | 2 | 2 | 1.00 | | CLKFBIN | ipb/udp_if/rx_ram_selector/send_pending_i[2] | rst_clk125 | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__234_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__235_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__225_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__559_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].patternSearch/FSM_sequential_state[1]_i_1__19_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__292_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__17_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__46_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__226_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__548_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__296_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__227_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip_i_1__7_n_0 | 1 | 2 | 2.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__228_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__229_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__5_n_0 | SFP_GEN[28].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[9].rx_clken_sr_reg[9][3]_0[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__5_n_0 | SFP_GEN[28].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__7_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__194_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__80_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__230_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__291_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].patternSearch/FSM_sequential_state[1]_i_1__37_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__79_n_0 | | 1 | 2 | 2.00 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__231_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip_i_1__23_n_0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__78_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__232_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__233_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__77_n_0 | | 1 | 2 | 2.00 | | CLKFBIN | ipb/udp_if/ping/send_pending_i | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__193_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__17_n_0 | SFP_GEN[33].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__17_n_0 | SFP_GEN[33].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__306_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__76_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__308_n_0 | | 1 | 2 | 2.00 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].patternSearch/FSM_sequential_state[1]_i_1__33_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__16_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__318_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__319_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__347_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__310_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__3_n_0 | SFP_GEN[19].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__75_n_0 | | 1 | 2 | 2.00 | | CLKFBIN | ipb/udp_if/rx_packet_parser/ping.pkt_data[19]_i_1_n_0 | ipb/udp_if/rx_reset_block/SR[0] | 1 | 2 | 2.00 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__290_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__309_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__301_n_0 | | 1 | 2 | 2.00 | | CLKFBIN | ipb/udp_if/rx_packet_parser/resend.pkt_data[13]_i_1_n_0 | ipb/udp_if/rx_reset_block/SR[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__311_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__45_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__74_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__192_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__73_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[8] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__312_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__3_n_0 | SFP_GEN[19].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__289_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__72_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__314_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[8].rx_clken_sr_reg[8][3]_0[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__191_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__313_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__40_n_0 | SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__278_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__82_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].patternSearch/FSM_sequential_state[1]_i_1__7_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__40_n_0 | SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__539_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].patternSearch/FSM_sequential_state[1]_i_1__46_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip_i_1__19_n_0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__15_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__81_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__255_n_0 | | 1 | 2 | 2.00 | | CLKFBIN | ipb/udp_if/tx_transactor/E[0] | rst_clk125 | 2 | 2 | 1.00 | | CLKFBIN | ipb/udp_if/tx_transactor/E[15] | rst_clk125 | 2 | 2 | 1.00 | | CLKFBIN | ipb/udp_if/tx_transactor/E[9] | rst_clk125 | 2 | 2 | 1.00 | | CLKFBIN | ipb/udp_if/tx_transactor/E[12] | rst_clk125 | 2 | 2 | 1.00 | | CLKFBIN | ipb/udp_if/tx_transactor/E[4] | rst_clk125 | 2 | 2 | 1.00 | | CLKFBIN | ipb/udp_if/tx_transactor/E[7] | rst_clk125 | 2 | 2 | 1.00 | | CLKFBIN | ipb/udp_if/tx_transactor/E[5] | rst_clk125 | 2 | 2 | 1.00 | | CLKFBIN | ipb/udp_if/tx_transactor/E[3] | rst_clk125 | 2 | 2 | 1.00 | | CLKFBIN | ipb/udp_if/tx_transactor/E[13] | rst_clk125 | 2 | 2 | 1.00 | | CLKFBIN | ipb/udp_if/tx_transactor/E[10] | rst_clk125 | 2 | 2 | 1.00 | | CLKFBIN | ipb/udp_if/tx_transactor/E[2] | rst_clk125 | 2 | 2 | 1.00 | | CLKFBIN | ipb/udp_if/tx_transactor/E[8] | rst_clk125 | 2 | 2 | 1.00 | | CLKFBIN | ipb/udp_if/tx_transactor/E[11] | rst_clk125 | 2 | 2 | 1.00 | | CLKFBIN | ipb/udp_if/tx_transactor/E[14] | rst_clk125 | 2 | 2 | 1.00 | | CLKFBIN | ipb/udp_if/tx_transactor/E[1] | rst_clk125 | 2 | 2 | 1.00 | | CLKFBIN | ipb/udp_if/tx_transactor/E[6] | rst_clk125 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__288_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].patternSearch/FSM_sequential_state[1]_i_1__44_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__348_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].patternSearch/FSM_sequential_state[1]_i_1__28_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__1_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip_i_1__41_n_0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__256_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__257_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__19_n_0 | SFP_GEN[37].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__19_n_0 | SFP_GEN[37].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__236_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__246_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__247_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__237_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__238_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__239_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__240_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__241_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__242_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__243_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__244_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__245_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__18_n_0 | SFP_GEN[38].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__18_n_0 | SFP_GEN[38].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__510_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__500_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__501_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__511_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__503_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__502_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__505_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__504_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__507_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__506_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__509_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__508_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__476_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__486_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__35_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__487_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__477_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__478_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__479_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__480_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__481_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__482_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__483_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[10].rx_clken_sr_reg[10][3]_0[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__484_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__485_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__38_n_0 | SFP_GEN[39].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__38_n_0 | SFP_GEN[39].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__164_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__174_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__175_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__165_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__166_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__167_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__168_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__169_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__170_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__171_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__172_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__173_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__12_n_0 | SFP_GEN[40].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__12_n_0 | SFP_GEN[40].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__200_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__210_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__201_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__211_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__202_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__203_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__205_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__204_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__207_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__206_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__209_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__208_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__15_n_0 | SFP_GEN[41].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__15_n_0 | SFP_GEN[41].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__107_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__117_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__118_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__108_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__109_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__110_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__111_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__112_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__113_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[10].rx_clken_sr_reg[10][3]_0[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__114_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__115_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__116_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__8_n_0 | SFP_GEN[42].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__8_n_0 | SFP_GEN[42].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__119_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__129_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__130_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__120_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__121_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__122_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__123_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__124_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__125_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__126_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__127_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__128_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__9_n_0 | SFP_GEN[43].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__9_n_0 | SFP_GEN[43].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__560_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__571_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__570_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__562_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__561_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__564_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__563_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__566_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__565_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__568_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__567_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__569_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__45_n_0 | SFP_GEN[44].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__45_n_0 | SFP_GEN[44].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__152_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__162_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__163_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__153_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__154_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__155_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__156_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__157_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__158_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__159_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__160_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__161_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__11_n_0 | SFP_GEN[45].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__11_n_0 | SFP_GEN[45].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__572_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__582_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__583_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__573_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__574_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__575_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__576_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__577_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__578_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__579_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__580_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__46_n_0 | SFP_GEN[46].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__46_n_0 | SFP_GEN[46].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__581_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__380_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__391_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__390_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__382_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__381_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__384_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__383_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__386_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__385_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__388_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__387_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__389_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__30_n_0 | SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__30_n_0 | SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__176_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__186_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__187_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__177_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__178_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__179_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__180_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__181_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__182_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__183_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__184_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__185_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__13_n_0 | SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__13_n_0 | SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__356_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__366_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__367_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__357_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__358_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__359_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__360_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__361_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__362_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__363_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__364_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__365_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__28_n_0 | SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__222_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__212_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__223_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__213_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__215_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__214_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__217_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__216_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__219_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__218_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__221_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__220_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__16_n_0 | SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__16_n_0 | SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__440_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__450_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__451_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__441_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__392_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__442_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__443_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__444_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__445_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__446_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__402_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__447_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__448_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__449_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__35_n_0 | SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__35_n_0 | SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[47].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[0].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[19].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[13].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[14].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[15].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[20].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[16].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[17].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__34_n_0 | SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[18].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__403_n_0 | | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[36].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[35].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[34].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[21].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[22].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[23].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[24].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__393_n_0 | | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[25].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[26].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[27].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 2 | 2 | 1.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[28].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[29].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[30].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[31].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__394_n_0 | | 1 | 2 | 2.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 2 | 2 | 1.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[32].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[33].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[37].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[38].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 2 | 2 | 1.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[39].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[40].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[41].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__395_n_0 | | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[42].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[43].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[44].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[45].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[46].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__396_n_0 | | 1 | 2 | 2.00 | | fabric_clk | fabric_clk_div2 | SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__397_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_11_16 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_3 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_9_38 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_0_17 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_11_28 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_4 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_5 | 1 | 2 | 2.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__398_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_1_18 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_2_7 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_1_6 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_1_30 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_5_10 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_6_11 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_6 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_4_33 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_7 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_6_35 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_7_24 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_0_5 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_7_36 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_2_31 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_8 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_3_20 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_5_22 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__399_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_4_9 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_7_12 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_8_37 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_10_27 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_3_32 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_11 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_8_25 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_0_29 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_10 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_10_15 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_5_34 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_9_14 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_9_26 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_8_13 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_10_39 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_11_40 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_2 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_4_21 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__400_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_1 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_6_23 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_0 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_2_19 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_3_8 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/rx_reset_i_9 | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/Q[0] | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/Q[1] | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/Q[2] | 1 | 2 | 2.00 | | tx_wordclk | | ctrl_regs_inst/Q[3] | 1 | 2 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip_i_1__14_n_0 | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].patternSearch/FSM_sequential_state[1]_i_1__40_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__325_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip_i_1__0_n_0 | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/genReset_s | 2 | 2 | 1.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip_i_1__33_n_0 | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__326_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__275_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__401_n_0 | | 1 | 2 | 2.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__332_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[1] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/genReset_s | 2 | 2 | 1.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__31_n_0 | SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].patternSearch/FSM_sequential_state[1]_i_1__22_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__31_n_0 | SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 2 | 2 | 1.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].patternSearch/FSM_sequential_state[1]_i_1__0_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 2 | 2 | 1.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[0].rx_clken_sr_reg[0][3]_0[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__24_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__41_n_0 | SFP_GEN[24].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__41_n_0 | SFP_GEN[24].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__323_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__324_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip_i_1__28_n_0 | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].patternSearch/FSM_sequential_state[1]_i_1__15_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__269_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__519_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/genReset_s | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__321_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__454_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__342_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__540_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__44_n_0 | | 1 | 2 | 2.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__453_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__268_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__322_n_0 | | 1 | 2 | 2.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__23_n_0 | SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__23_n_0 | SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__33_n_0 | SFP_GEN[36].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/genReset_s | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__33_n_0 | SFP_GEN[36].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | i_tcds2_if/fabric_clk_in | i_tcds2_if/prbs_checker/cmp_prbs_gen/E[0] | ctrl_regs_inst/prbschk_reset | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__12_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__343_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[5] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__425_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__330_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__331_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__48_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | i_tcds2_if/i_mgt_wrapper/i_rxusrclk/bbstub_rxoutclk_out[0] | | i_tcds2_if/i_mgt_wrapper/i_buffbypass_rx_reset/gtwiz_buffbypass_rx_reset_in[0] | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | i_tcds2_if/i_mgt_wrapper/i_rxusrclk/bbstub_rxoutclk_out[0] | | i_tcds2_if/i_mgt_wrapper/i_mgt/inst/gen_gtwizard_gthe3_top.ttc_mgt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[24].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rxpmaresetdone_out[0] | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | i_tcds2_if/i_mgt_wrapper/i_rxusrclk/bbstub_rxoutclk_out[0] | i_tcds2_if/cmp_lpgbtfpga_uplink/lpgbtfpga_framealigner_inst/consecCorrectHeaders0 | | 2 | 2 | 1.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__43_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[11].rx_clken_sr_reg[11][3]_0[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__424_n_0 | | 1 | 2 | 2.00 | | i_tcds2_if/i_mgt_wrapper/i_rxusrclk/bbstub_rxoutclk_out[0] | i_tcds2_if/cmp_lpgbtfpga_uplink/lpgbtfpga_framealigner_inst/nbCheckedHeaders0 | | 2 | 2 | 1.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__320_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | i_tcds2_if/i_mgt_wrapper/i_rxusrclk/bbstub_rxoutclk_out[0] | i_tcds2_if/cmp_lpgbtfpga_uplink/lpgbtfpga_framealigner_inst/state | i_tcds2_if/rx_uplinkRst_n_bit_sync_320/i_in_out | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__58_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__267_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__537_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__514_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__34_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/genReset_s | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__6_n_0 | SFP_GEN[30].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__423_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__422_n_0 | | 1 | 2 | 2.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__421_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__420_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__419_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__436_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__418_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__417_n_0 | | 1 | 2 | 2.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__427_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__426_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__437_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__416_n_0 | | 1 | 2 | 2.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__7_n_0 | SFP_GEN[35].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__7_n_0 | SFP_GEN[35].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__39_n_0 | SFP_GEN[21].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__39_n_0 | SFP_GEN[21].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__100_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__7_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__104_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__6_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 2 | 2.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__5_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 2 | 1.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__4_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__103_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__3_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 2 | 1.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__2_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 2 | 2.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__1_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 2 | 1.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__0_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 2 | 1.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__10_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__28_n_0 | SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__102_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__9_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 2 | 2.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__8_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 2 | 1.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__101_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__249_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__11_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 2 | 1.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__12_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 2 | 2.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 2 | 2 | 1.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__13_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__250_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__14_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 2 | 2.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__15_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__99_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__16_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 2 | 1.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__17_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__98_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__18_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 2 | 2.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__19_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 2 | 1.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__20_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 2 | 2.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__21_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__97_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__22_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 2 | 2.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__9_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__456_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__23_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 2 | 1.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__24_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 2 | 1.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__25_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__455_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__26_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 2 | 2.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__27_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 2 | 1.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__28_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 2 | 1.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__29_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 2 | 2.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__30_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 2 | 2.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__31_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 2 | 1.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__32_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 2 | 1.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__33_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 2 | 1.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__34_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__90_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__46_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__91_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__35_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 2 | 1.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__36_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 2 | 1.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__37_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 2 | 2.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__96_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__38_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 2 | 2.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__39_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 2 | 2.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__40_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__106_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__41_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 2 | 1.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__42_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 2 | 2.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__43_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__105_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__45_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 2 | 1.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_pi_phase_step[3]_i_1__44_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__467_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__434_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__95_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__266_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[4].rx_clken_sr_reg[4][3]_0[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__6_n_0 | SFP_GEN[30].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[3].rx_clken_sr_reg[3][3]_0[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | i_tcds2_if/i_mgt_wrapper/i_rxusrclk/bbstub_rxoutclk_out[0] | i_tcds2_if/i_mgt_wrapper/i_mgt/inst/gen_gtwizard_gthe3_top.ttc_mgt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_buffer_bypass_internal.gen_single_instance.gtwiz_buffbypass_rx_inst/gen_gtwiz_buffbypass_rx_main.gen_auto_mode.reset_synchronizer_resetdone_inst/E[0] | i_tcds2_if/i_mgt_wrapper/i_buffbypass_rx_reset/gtwiz_buffbypass_rx_reset_in[0] | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__372_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__373_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__435_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__258_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__259_n_0 | | 1 | 2 | 2.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__24_n_0 | SFP_GEN[34].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__24_n_0 | SFP_GEN[34].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__317_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__316_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__315_n_0 | | 1 | 2 | 2.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__10_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__86_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__87_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__88_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__89_n_0 | | 1 | 2 | 2.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__488_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__498_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__499_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__489_n_0 | | 1 | 2 | 2.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__490_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__432_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__491_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__492_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__493_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__494_n_0 | | 1 | 2 | 2.00 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 2 | 2 | 1.00 | | tx_wordclk | TX_CLKEN_repN_43 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN_repN_43 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN_repN_43 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN_repN_43 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN_repN_43 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__333_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__495_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__42_n_0 | | 1 | 2 | 2.00 | | i_tcds2_if/i_mgt_wrapper/i_txusrclk/bbstub_txoutclk_out[0] | | i_tcds2_if/i_mgt_wrapper/i_mgt/inst/gen_gtwizard_gthe3_top.ttc_mgt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[24].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/txpmaresetdone_out[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__438_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__92_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN_repN_56 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__0_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__496_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip_i_1__37_n_0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__265_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN_repN_51 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__4_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN_repN_53 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__497_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].patternSearch/FSM_sequential_state[1]_i_1__30_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip_i_1__21_n_0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__254_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__253_n_0 | | 1 | 2 | 2.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN_repN_60 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN_repN_60 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN_repN_60 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN_repN_60 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN_repN_60 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip_i_1__15_n_0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__248_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__252_n_0 | | 1 | 2 | 2.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__251_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__541_n_0 | | 1 | 2 | 2.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__334_n_0 | | 1 | 2 | 2.00 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN_repN_1 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN_repN_1 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__433_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN_repN_1 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN_repN_1 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN_repN_1 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN_repN_1 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__524_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__57_n_0 | | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_init/timer_clr__0 | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_init/timer_clr__0 | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_init/timer_clr__0 | 2 | 2 | 1.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_init/timer_clr__0 | 1 | 2 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[4].rx_clken_sr_reg[4][3]_0[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN_repN_4 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN_repN_4 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN_repN_4 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN_repN_4 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN_repN_4 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN_repN_4 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_init/timer_clr__0 | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN_repN_6 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN_repN_6 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN_repN_6 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 2 | 2 | 1.00 | | DRPclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_init/timer_clr__0 | 2 | 2 | 1.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].patternSearch/FSM_sequential_state[1]_i_1__4_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_init/timer_clr__0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__428_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__534_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN_repN_5 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN_repN_5 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN_repN_5 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN_repN_5 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN_repN_5 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN_repN_32 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_init/timer_clr__0 | 2 | 2 | 1.00 | | tx_wordclk | TX_CLKEN_repN_25 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN_repN_25 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN_repN_25 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN_repN_26 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__2_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN_repN_33 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN_repN_40 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN_repN_40 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 2 | 2 | 1.00 | | DRPclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_init/timer_clr__0 | 2 | 2 | 1.00 | | tx_wordclk | TX_CLKEN_repN_36 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN_repN_38 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN_repN_38 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_init/timer_clr__0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__11_n_0 | | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_init/timer_clr__0 | 2 | 2 | 1.00 | | tx_wordclk | TX_CLKEN_repN_41 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__23_n_0 | | 1 | 2 | 2.00 | | tx_wordclk | TX_CLKEN_repN_48 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/tx_aligned_o_reg_0 | 1 | 2 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip_i_1__2_n_0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__535_n_0 | | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_init/timer_clr__0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__85_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[3] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__47_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].patternSearch/FSM_sequential_state[1]_i_1__2_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__525_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip_i_1__1_n_0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__466_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].patternSearch/FSM_sequential_state[1]_i_1__16_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | CLK | | fabric_clk_LOCKED | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__526_n_0 | | 1 | 2 | 2.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | CLK | | rst_dbl0 | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__71_n_0 | | 1 | 2 | 2.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__190_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[8].rx_clken_sr_reg[8][3]_0[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[2] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__84_n_0 | | 1 | 2 | 2.00 | | CLK | i_I2C_if/I2C_array[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__131_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__335_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__272_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].patternSearch/FSM_sequential_state[1]_i_1__1_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip_i_1__30_n_0 | 1 | 2 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip_i_1_n_0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__20_n_0 | SFP_GEN[27].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | CLK | i_I2C_if/I2C_array[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__132_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__20_n_0 | SFP_GEN[27].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__94_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__287_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__527_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip_i_1__25_n_0 | 1 | 2 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip_i_1__10_n_0 | 1 | 2 | 2.00 | | CLK | i_I2C_if/I2C_array[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__133_n_0 | | 1 | 2 | 2.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__189_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].patternSearch/FSM_sequential_state[1]_i_1__20_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip_i_1__34_n_0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__516_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__546_n_0 | | 1 | 2 | 2.00 | | CLK | i_I2C_if/I2C_array[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__134_n_0 | | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_init/timer_clr__0 | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_init/timer_clr__0 | 1 | 2 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].patternSearch/FSM_sequential_state[1]_i_1__34_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | CLK | i_I2C_if/I2C_array[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__137_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].patternSearch/FSM_sequential_state[1]_i_1__23_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__199_n_0 | | 1 | 2 | 2.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__282_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].patternSearch/FSM_sequential_state[1]_i_1__11_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | CLK | i_I2C_if/I2C_array[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__135_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__14_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__1_n_0 | SFP_GEN[15].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__286_n_0 | | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_init/timer_clr__0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__1_n_0 | SFP_GEN[15].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__43_n_0 | SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | CLK | i_I2C_if/I2C_array[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__136_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__544_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip_i_1__5_n_0 | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_init/timer_clr__0 | 2 | 2 | 1.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].patternSearch/FSM_sequential_state[1]_i_1__43_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].patternSearch/FSM_sequential_state[1]_i_1_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__528_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__198_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__54_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__523_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__32_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip_i_1__8_n_0 | 1 | 2 | 2.00 | | CLK | i_I2C_if/I2C_array[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__138_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__302_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__6_n_0 | | 1 | 2 | 2.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 2 | 2 | 1.00 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__33_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].patternSearch/FSM_sequential_state[1]_i_1__32_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | CLK | i_I2C_if/I2C_array[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__139_n_0 | | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_init/timer_clr__0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__21_n_0 | | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_init/timer_clr__0 | 1 | 2 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[9].rx_clken_sr_reg[9][3]_0[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[0].rx_clken_sr_reg[0][3]_0[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[5].rx_clken_sr_reg[5][3]_0[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__188_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__55_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__285_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].patternSearch/FSM_sequential_state[1]_i_1__39_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_init/timer_clr__0 | 1 | 2 | 2.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__13_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__150_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/gtwiz_userclk_rx_reset_in | 1 | 2 | 2.00 | | CLK | i_I2C_if/I2C_array[0].buffer_ngccm/status_mask0 | i_I2C_if/I2C_array[0].buffer_server/SS[0] | 1 | 2 | 2.00 | | CLK | i_I2C_if/I2C_array[0].buffer_ngccm/ngccm_mosi[ipb_addr][12]_i_1__623_n_0 | ipb_rst_BUFG | 1 | 2 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip_i_1__27_n_0 | 1 | 2 | 2.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__295_n_0 | | 1 | 2 | 2.00 | | CLK | i_I2C_if/I2C_array[1].buffer_ngccm/ngccm_mosi[ipb_addr][12]_i_1__624_n_0 | ipb_rst_BUFG | 1 | 2 | 2.00 | | CLK | i_I2C_if/I2C_array[1].buffer_ngccm/status_mask0 | i_I2C_if/I2C_array[1].buffer_server/SS[0] | 1 | 2 | 2.00 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 2 | 2 | 1.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip_i_1__40_n_0 | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_init/timer_clr__0 | 1 | 2 | 2.00 | | CLK | i_I2C_if/I2C_array[2].buffer_ngccm/ngccm_mosi[ipb_addr][12]_i_1__625_n_0 | ipb_rst_BUFG | 1 | 2 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].patternSearch/FSM_sequential_state[1]_i_1__25_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 2 | 2 | 1.00 | | CLK | i_I2C_if/I2C_array[2].buffer_ngccm/status_mask0 | i_I2C_if/I2C_array[2].buffer_server/SS[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__31_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip_i_1__11_n_0 | 1 | 2 | 2.00 | | CLK | i_I2C_if/I2C_array[3].buffer_ngccm/status_mask0 | i_I2C_if/I2C_array[3].buffer_server/SS[0] | 1 | 2 | 2.00 | | CLK | i_I2C_if/I2C_array[3].buffer_ngccm/ngccm_mosi[ipb_addr][12]_i_1__626_n_0 | ipb_rst_BUFG | 1 | 2 | 2.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__545_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__41_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[7].rx_clken_sr_reg[7][3]_0[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | CLK | i_I2C_if/I2C_array[4].buffer_ngccm/ngccm_mosi[ipb_addr][12]_i_1__627_n_0 | ipb_rst_BUFG | 2 | 2 | 1.00 | | CLK | i_I2C_if/I2C_array[4].buffer_ngccm/status_mask0 | i_I2C_if/I2C_array[4].buffer_server/SS[0] | 1 | 2 | 2.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].patternSearch/FSM_sequential_state[1]_i_1__21_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | CLK | i_I2C_if/I2C_array[5].buffer_ngccm/ngccm_mosi[ipb_addr][12]_i_1__628_n_0 | ipb_rst_BUFG | 1 | 2 | 2.00 | | CLK | i_I2C_if/I2C_array[5].buffer_ngccm/status_mask0 | i_I2C_if/I2C_array[5].buffer_server/SS[0] | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_init/timer_clr__0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__151_n_0 | | 1 | 2 | 2.00 | | CLK | i_I2C_if/I2C_array[6].buffer_ngccm/ngccm_mosi[ipb_addr][12]_i_1__629_n_0 | ipb_rst_BUFG | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__30_n_0 | | 1 | 2 | 2.00 | | CLK | i_I2C_if/I2C_array[6].buffer_ngccm/status_mask0 | i_I2C_if/I2C_array[6].buffer_server/SS[0] | 1 | 2 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[1].rx_clken_sr_reg[1][3]_0[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__273_n_0 | | 1 | 2 | 2.00 | | CLK | i_I2C_if/I2C_array[7].buffer_ngccm/ngccm_mosi[ipb_addr][12]_i_1__630_n_0 | ipb_rst_BUFG | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__345_n_0 | | 1 | 2 | 2.00 | | CLK | i_I2C_if/I2C_array[7].buffer_ngccm/status_mask0 | i_I2C_if/I2C_array[7].buffer_server/SS[0] | 1 | 2 | 2.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 2 | 2 | 1.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip_i_1__32_n_0 | 1 | 2 | 2.00 | | CLK | i_I2C_if/I2C_array[8].buffer_ngccm/ngccm_mosi[ipb_addr][12]_i_1__631_n_0 | ipb_rst_BUFG | 2 | 2 | 1.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[9] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | CLK | i_I2C_if/I2C_array[8].buffer_ngccm/status_mask0 | i_I2C_if/I2C_array[8].buffer_server/SS[0] | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_init/timer_clr__0 | 1 | 2 | 2.00 | | CLK | i_I2C_if/I2C_array[8].buffer_server/ngccm_state_o_reg[1]_1 | ipb_rst_BUFG | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_init/timer_clr__0 | 2 | 2 | 1.00 | | CLK | i_I2C_if/I2C_array[0].buffer_server/ngccm_state_o_reg[1]_1 | ipb_rst_BUFG | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__43_n_0 | SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__529_n_0 | | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_init/timer_clr__0 | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__346_n_0 | | 1 | 2 | 2.00 | | CLK | i_I2C_if/I2C_array[1].buffer_server/ngccm_state_o_reg[1]_1 | ipb_rst_BUFG | 1 | 2 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[7].rx_clken_sr_reg[7][3]_0[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__53_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__283_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].patternSearch/FSM_sequential_state[1]_i_1__12_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].patternSearch/FSM_sequential_state[1]_i_1__42_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__140_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__336_n_0 | | 1 | 2 | 2.00 | | CLK | i_I2C_if/I2C_array[6].buffer_server/ngccm_state_o_reg[1]_1 | ipb_rst_BUFG | 1 | 2 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].patternSearch/FSM_sequential_state[1]_i_1__29_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__93_n_0 | | 1 | 2 | 2.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 2 | 2 | 1.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip_i_2__34_n_0 | 1 | 2 | 2.00 | | CLK | i_I2C_if/I2C_array[7].buffer_server/ngccm_state_o_reg[1]_1 | ipb_rst_BUFG | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__21_n_0 | SFP_GEN[23].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__354_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__279_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip_i_1__29_n_0 | 1 | 2 | 2.00 | | CLK | i_I2C_if/I2C_array[2].buffer_server/ngccm_state_o_reg[1]_1 | ipb_rst_BUFG | 1 | 2 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip_i_1__39_n_0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__29_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].patternSearch/FSM_sequential_state[1]_i_1__8_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__355_n_0 | | 1 | 2 | 2.00 | | CLK | i_I2C_if/I2C_array[3].buffer_server/ngccm_state_o_reg[1]_1 | ipb_rst_BUFG | 1 | 2 | 2.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 2 | 2 | 1.00 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__465_n_0 | | 1 | 2 | 2.00 | | CLK | i_I2C_if/I2C_array[4].buffer_server/ngccm_state_o_reg[1]_1 | ipb_rst_BUFG | 1 | 2 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[11].rx_clken_sr_reg[11][3]_0[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/gtwiz_userclk_rx_reset_in | 1 | 2 | 2.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__294_n_0 | | 1 | 2 | 2.00 | | CLK | i_I2C_if/I2C_array[5].buffer_server/ngccm_state_o_reg[1]_1 | ipb_rst_BUFG | 1 | 2 | 2.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 2 | 2 | 1.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__5_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[6].rx_clken_sr_reg[6][3]_0[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__83_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__307_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__141_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__28_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[1].rx_clken_sr_reg[1][3]_0[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__542_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__303_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__344_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].patternSearch/FSM_sequential_state[1]_i_1__36_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__536_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__52_n_0 | | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_init/timer_clr__0 | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_init/timer_clr__0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__530_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[5].rx_clken_sr_reg[5][3]_0[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__4_n_0 | SFP_GEN[25].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__431_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__4_n_0 | SFP_GEN[25].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__142_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[11].rx_clken_sr_reg[11][3]_0[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_init/timer_clr__0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__68_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip_i_1__12_n_0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__284_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip_i_1__16_n_0 | 1 | 2 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].patternSearch/FSM_sequential_state[1]_i_1__41_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__143_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__522_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[10] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_init/timer_clr__0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__67_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].patternSearch/FSM_sequential_state[1]_i_1__9_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip_i_1__36_n_0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__144_n_0 | | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_init/timer_clr__0 | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_init/timer_clr__0 | 1 | 2 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].patternSearch/FSM_sequential_state[1]_i_1__13_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__66_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__145_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip_i_2__10_n_0 | 1 | 2 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[3].rx_clken_sr_reg[3][3]_0[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[2].rx_clken_sr_reg[2][3]_0[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_init/timer_clr__0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__304_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__65_n_0 | | 1 | 2 | 2.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 2 | 2 | 1.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_init/timer_clr__0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__50_n_0 | | 1 | 2 | 2.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__146_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__518_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__64_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__531_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__515_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[6].rx_clken_sr_reg[6][3]_0[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip_i_1__38_n_0 | 1 | 2 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[11] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 2 | 2 | 1.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__2_n_0 | SFP_GEN[16].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__63_n_0 | | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_init/timer_clr__0 | 1 | 2 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[2].rx_clken_sr_reg[2][3]_0[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__2_n_0 | SFP_GEN[16].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__27_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__147_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__62_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__0_n_0 | SFP_GEN[14].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 2 | 1.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].patternSearch/FSM_sequential_state[1]_i_1__10_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__274_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__460_n_0 | | 1 | 2 | 2.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[5].rx_clken_sr_reg[5][3]_0[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip_i_1__13_n_0 | 1 | 2 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip_i_1__3_n_0 | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_init/timer_clr__0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__61_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__276_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip_i_1__24_n_0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__51_n_0 | | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_init/timer_clr__0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__148_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__305_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__60_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__40_n_0 | | 1 | 2 | 2.00 | | DRPclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_init/timer_clr__0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__36_n_0 | SFP_GEN[20].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].patternSearch/FSM_sequential_state[1]_i_1__14_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__36_n_0 | SFP_GEN[20].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__149_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__70_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__26_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[4] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__538_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[9].rx_clken_sr_reg[9][3]_0[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__337_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[0].rx_clken_sr_reg[0][3]_0[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__69_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[10].rx_clken_sr_reg[10][3]_0[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__29_n_0 | SFP_GEN[29].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__459_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip_i_1__22_n_0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__29_n_0 | SFP_GEN[29].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGTDO[1]_i_1__10_n_0 | SFP_GEN[47].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 2 | 1.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].patternSearch/FSM_sequential_state[1]_i_1__3_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/gtwiz_userclk_rx_reset_in | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__59_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__543_n_0 | | 1 | 2 | 2.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__547_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip_i_2__22_n_0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGCtrl[1]_i_1__10_n_0 | SFP_GEN[47].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 2 | 2.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].patternSearch/FSM_sequential_state[1]_i_1__26_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__461_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__521_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip_i_1__4_n_0 | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__439_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__22_n_0 | | 1 | 2 | 2.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__25_n_0 | | 1 | 2 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[3].rx_clken_sr_reg[3][3]_0[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/DONE_o_reg | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__520_n_0 | | 1 | 2 | 2.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__532_n_0 | | 1 | 2 | 2.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[12].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 2 | 2 | 1.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__49_n_0 | | 1 | 2 | 2.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__20_n_0 | SFP_GEN[27].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].patternSearch/consecFalseHeaders0 | | 1 | 3 | 3.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].patternSearch/p_0_in | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__0_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__18_n_0 | SFP_GEN[38].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | i_tcds2_if/i_mgt_wrapper/i_rxusrclk/bbstub_rxoutclk_out[0] | i_tcds2_if/cmp_lpgbtfpga_uplink/lpgbtfpga_framealigner_inst/FSM_onehot_stateBitSlip[2]_i_1_n_0 | i_tcds2_if/rx_uplinkRst_n_bit_sync_320/i_in_out | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | i_tcds2_if/i_mgt_wrapper/i_rxusrclk/bbstub_rxoutclk_out[0] | i_tcds2_if/cmp_lpgbtfpga_uplink/lpgbtfpga_framealigner_inst/lockFSM_proc.consecFalseHeaders[2]_i_1_n_0 | | 2 | 3 | 1.50 | | i_tcds2_if/i_mgt_wrapper/i_rxusrclk/bbstub_rxoutclk_out[0] | i_tcds2_if/cmp_lpgbtfpga_uplink/lpgbtfpga_framealigner_inst/shiftPsAddr | i_tcds2_if/rx_uplinkRst_n_bit_sync_320/i_in_out | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__6_n_0 | SFP_GEN[30].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 3 | 1.50 | | i_tcds2_if/i_mgt_wrapper/i_txusrclk/bbstub_txoutclk_out[0] | | i_tcds2_if/txgearbox_inst/gearboxCounter[2]_i_1_n_0 | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__19_n_0 | SFP_GEN[37].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 3 | 1.50 | | CLK | SFP_GEN[19].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__18_n_0 | ipb_rst_BUFG | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__40_n_0 | SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__17_n_0 | SFP_GEN[33].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 2 | 3 | 1.50 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__32_n_0 | SFP_GEN[32].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__37_n_0 | SFP_GEN[18].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 3 | 1.50 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__29_n_0 | SFP_GEN[29].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 2 | 3 | 1.50 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__25_n_0 | SFP_GEN[31].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__27_n_0 | SFP_GEN[26].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 3 | 1.50 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLKFBIN | | eth/phy/U0/gig_ethernet_pcs_pma_0_core/gpcs_pma_inst/IS_2_5G_DISABLED_PRE_SHRINK.TRANSMITTER/CODE_GRP[7]_i_1_n_0 | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__22_n_0 | SFP_GEN[17].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 3 | 1.50 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 2 | 3 | 1.50 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLKFBIN | | i_tcds2_if/i_mgt_wrapper/i_reset_sm/reset_synchronizer_gtwiz_reset_tx_any_inst/rst_out | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__44_n_0 | SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 3 | 1.50 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLKFBIN | | ipb/udp_if/rx_reset_block/rx_reset_buf.reset_latch_reg_0[0] | 1 | 3 | 3.00 | | CLKFBIN | | ipb/udp_if/rx_reset_block/arp.pkt_drop_reg[0] | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLKFBIN | | ipb/udp_if/rx_packet_parser/p_1_out[0] | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLKFBIN | | eth/phy/U0/transceiver_inst/gig_ethernet_pcs_pma_0_gt_i/inst/gen_gtwizard_gthe3_top.gig_ethernet_pcs_pma_0_gt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLKFBIN | i_tcds2_if/i_mgt_wrapper/i_reset_sm/FSM_sequential_sm_reset_tx[2]_i_1_n_0 | i_tcds2_if/i_mgt_wrapper/i_reset_sm/reset_synchronizer_gtwiz_reset_tx_any_inst/rst_out | 1 | 3 | 3.00 | | CLKFBIN | i_tcds2_if/i_mgt_wrapper/i_reset_sm/FSM_sequential_sm_reset_all[2]_i_1_n_0 | i_tcds2_if/i_mgt_wrapper/i_reset_sm/reset_synchronizer_gtwiz_reset_all_inst/rst_out | 1 | 3 | 3.00 | | CLKFBIN | i_tcds2_if/i_mgt_wrapper/i_reset_sm/FSM_sequential_sm_reset_rx_reg[2]_i_1_n_0 | i_tcds2_if/i_mgt_wrapper/i_reset_sm/reset_synchronizer_gtwiz_reset_rx_any_inst/rst_out | 2 | 3 | 1.50 | | CLKFBIN | i_tcds2_if/i_mgt_wrapper/i_reset_sm/sm_reset_all_timer_ctr0_n_0 | i_tcds2_if/i_mgt_wrapper/i_reset_sm/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | CLKFBIN | i_tcds2_if/i_mgt_wrapper/i_reset_sm/p_0_in | i_tcds2_if/i_mgt_wrapper/i_reset_sm/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | CLKFBIN | i_tcds2_if/i_mgt_wrapper/i_reset_sm/sm_reset_rx_timer_ctr0_n_0 | i_tcds2_if/i_mgt_wrapper/i_reset_sm/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[42].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__41_n_0 | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__5_n_0 | SFP_GEN[28].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 3 | 3 | 1.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[20].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__19_n_0 | ipb_rst_BUFG | 3 | 3 | 1.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 2 | 3 | 1.50 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLKFBIN | ipb/udp_if/tx_main/state_machine.mac_tx_valid_int_reg_0 | eth/mac/i_mac/hdr_cnt0 | 1 | 3 | 3.00 | | CLKFBIN | ipb/udp_if/tx_main/state_machine.state[2]_i_1_n_0 | | 1 | 3 | 3.00 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLKFBIN | ipb/udp_if/tx_main/pay_len[11] | | 2 | 3 | 1.50 | | CLKFBIN | eth/phy/U0/transceiver_inst/gig_ethernet_pcs_pma_0_gt_i/inst/gen_gtwizard_gthe3_top.gig_ethernet_pcs_pma_0_gt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | eth/phy/U0/transceiver_inst/gig_ethernet_pcs_pma_0_gt_i/inst/gen_gtwizard_gthe3_top.gig_ethernet_pcs_pma_0_gt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | CLKFBIN | eth/phy/U0/transceiver_inst/gig_ethernet_pcs_pma_0_gt_i/inst/gen_gtwizard_gthe3_top.gig_ethernet_pcs_pma_0_gt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | eth/phy/U0/transceiver_inst/gig_ethernet_pcs_pma_0_gt_i/inst/gen_gtwizard_gthe3_top.gig_ethernet_pcs_pma_0_gt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLKFBIN | eth/phy/U0/transceiver_inst/gig_ethernet_pcs_pma_0_gt_i/inst/gen_gtwizard_gthe3_top.gig_ethernet_pcs_pma_0_gt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | eth/phy/U0/transceiver_inst/gig_ethernet_pcs_pma_0_gt_i/inst/gen_gtwizard_gthe3_top.gig_ethernet_pcs_pma_0_gt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLKFBIN | eth/phy/U0/transceiver_inst/gig_ethernet_pcs_pma_0_gt_i/inst/gen_gtwizard_gthe3_top.gig_ethernet_pcs_pma_0_gt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | eth/phy/U0/transceiver_inst/gig_ethernet_pcs_pma_0_gt_i/inst/gen_gtwizard_gthe3_top.gig_ethernet_pcs_pma_0_gt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 3 | 3.00 | | CLKFBIN | eth/phy/U0/transceiver_inst/gig_ethernet_pcs_pma_0_gt_i/inst/gen_gtwizard_gthe3_top.gig_ethernet_pcs_pma_0_gt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | eth/phy/U0/transceiver_inst/gig_ethernet_pcs_pma_0_gt_i/inst/gen_gtwizard_gthe3_top.gig_ethernet_pcs_pma_0_gt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | CLKFBIN | eth/phy/U0/transceiver_inst/gig_ethernet_pcs_pma_0_gt_i/inst/gen_gtwizard_gthe3_top.gig_ethernet_pcs_pma_0_gt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | eth/phy/U0/transceiver_inst/gig_ethernet_pcs_pma_0_gt_i/inst/gen_gtwizard_gthe3_top.gig_ethernet_pcs_pma_0_gt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | | ipb/trans/sm/err_d | 3 | 3 | 1.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].patternSearch/consecFalseHeaders0 | | 1 | 3 | 3.00 | | CLK | i_I2C_if/I2C_array[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 2 | 3 | 1.50 | | CLK | i_I2C_if/I2C_array[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 2 | 3 | 1.50 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | i_I2C_if/I2C_array[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | i_I2C_if/I2C_array[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | i_I2C_if/I2C_array[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | i_I2C_if/I2C_array[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 2 | 3 | 1.50 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | i_I2C_if/I2C_array[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | i_I2C_if/I2C_array[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | i_I2C_if/I2C_array[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 2 | 3 | 1.50 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[6].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__5_n_0 | ipb_rst_BUFG | 3 | 3 | 1.00 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[41].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__40_n_0 | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 2 | 3 | 1.50 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[21].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__20_n_0 | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 2 | 3 | 1.50 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__4_n_0 | SFP_GEN[25].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__43_n_0 | SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 3 | 3 | 1.00 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 2 | 3 | 1.50 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__2_n_0 | SFP_GEN[16].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 3 | 3 | 1.00 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[40].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__39_n_0 | ipb_rst_BUFG | 3 | 3 | 1.00 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[2].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__1_n_0 | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[7].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__6_n_0 | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 2 | 3 | 1.50 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[39].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__38_n_0 | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[8].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__7_n_0 | ipb_rst_BUFG | 3 | 3 | 1.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[22].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__21_n_0 | ipb_rst_BUFG | 3 | 3 | 1.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[3].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__2_n_0 | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 2 | 3 | 1.50 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[28].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__27_n_0 | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[38].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__37_n_0 | ipb_rst_BUFG | 3 | 3 | 1.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__14_n_0 | SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 3 | 1.50 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 2 | 3 | 1.50 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[23].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__22_n_0 | ipb_rst_BUFG | 3 | 3 | 1.00 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[37].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__36_n_0 | ipb_rst_BUFG | 3 | 3 | 1.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__1_n_0 | SFP_GEN[15].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 2 | 3 | 1.50 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[36].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__35_n_0 | ipb_rst_BUFG | 3 | 3 | 1.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[24].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__23_n_0 | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__10_n_0 | SFP_GEN[47].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 3 | 1.50 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 2 | 3 | 1.50 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[35].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__34_n_0 | ipb_rst_BUFG | 3 | 3 | 1.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 2 | 3 | 1.50 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 2 | 3 | 1.50 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__0_n_0 | SFP_GEN[14].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 3 | 3 | 1.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[34].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__33_n_0 | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__26_n_0 | SFP_GEN[0].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 3 | 1.50 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 2 | 3 | 1.50 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 2 | 3 | 1.50 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[25].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__24_n_0 | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 2 | 3 | 1.50 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 2 | 3 | 1.50 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[33].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__32_n_0 | ipb_rst_BUFG | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__3_n_0 | SFP_GEN[19].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 2 | 3 | 1.50 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 2 | 3 | 1.50 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 2 | 3 | 1.50 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[32].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__31_n_0 | ipb_rst_BUFG | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__36_n_0 | SFP_GEN[20].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 3 | 1.50 | | CLK | SFP_GEN[5].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__4_n_0 | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[26].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__25_n_0 | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 2 | 3 | 1.50 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[43].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__42_n_0 | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1_n_0 | SFP_GEN[13].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 3 | 3 | 1.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[31].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__30_n_0 | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 2 | 3 | 1.50 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__23_n_0 | SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 3 | 3 | 1.00 | | CLK | SFP_GEN[30].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__29_n_0 | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 2 | 3 | 1.50 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[27].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__26_n_0 | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | CLK | SFP_GEN[44].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__43_n_0 | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[4].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__3_n_0 | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].patternSearch/consecFalseHeaders0 | | 1 | 3 | 3.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].patternSearch/p_0_in | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__45_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].patternSearch/consecFalseHeaders0 | | 2 | 3 | 1.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].patternSearch/p_0_in | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__44_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].patternSearch/p_0_in | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].patternSearch/consecFalseHeaders0 | | 1 | 3 | 3.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__43_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].patternSearch/p_0_in | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].patternSearch/consecFalseHeaders0 | | 2 | 3 | 1.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__42_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].patternSearch/p_0_in | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].patternSearch/consecFalseHeaders0 | | 1 | 3 | 3.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__41_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].patternSearch/p_0_in | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 2 | 3 | 1.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].patternSearch/consecFalseHeaders0 | | 1 | 3 | 3.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__40_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].patternSearch/consecFalseHeaders0 | | 1 | 3 | 3.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].patternSearch/p_0_in | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 2 | 3 | 1.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__39_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].patternSearch/consecFalseHeaders0 | | 1 | 3 | 3.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].patternSearch/p_0_in | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__38_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].patternSearch/consecFalseHeaders0 | | 1 | 3 | 3.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].patternSearch/p_0_in | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__37_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].patternSearch/p_0_in | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].patternSearch/consecFalseHeaders0 | | 1 | 3 | 3.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__36_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].patternSearch/p_0_in | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].patternSearch/consecFalseHeaders0 | | 1 | 3 | 3.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__35_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__21_n_0 | SFP_GEN[23].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 3 | 1.50 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].patternSearch/consecFalseHeaders0 | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 1 | 3 | 3.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].patternSearch/p_0_in | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__46_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].patternSearch/p_0_in | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__34_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[14].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__13_n_0 | ipb_rst_BUFG | 2 | 3 | 1.50 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].patternSearch/p_0_in | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].patternSearch/consecFalseHeaders0 | | 1 | 3 | 3.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__33_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].patternSearch/p_0_in | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].patternSearch/consecFalseHeaders0 | | 1 | 3 | 3.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__32_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].patternSearch/consecFalseHeaders0 | | 1 | 3 | 3.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].patternSearch/p_0_in | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__31_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].patternSearch/consecFalseHeaders0 | | 1 | 3 | 3.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].patternSearch/p_0_in | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__30_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].patternSearch/p_0_in | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 2 | 3 | 1.50 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].patternSearch/consecFalseHeaders0 | | 1 | 3 | 3.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__29_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].patternSearch/p_0_in | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 2 | 3 | 1.50 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].patternSearch/consecFalseHeaders0 | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__28_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].patternSearch/p_0_in | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 2 | 3 | 1.50 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].patternSearch/consecFalseHeaders0 | | 1 | 3 | 3.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__27_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].patternSearch/consecFalseHeaders0 | | 2 | 3 | 1.50 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].patternSearch/p_0_in | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__26_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[45].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__44_n_0 | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].patternSearch/p_0_in | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].patternSearch/consecFalseHeaders0 | | 1 | 3 | 3.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__25_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].patternSearch/consecFalseHeaders0 | | 2 | 3 | 1.50 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].patternSearch/p_0_in | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 2 | 3 | 1.50 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__24_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].patternSearch/p_0_in | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].patternSearch/consecFalseHeaders0 | | 1 | 3 | 3.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__23_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].patternSearch/consecFalseHeaders0 | | 1 | 3 | 3.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__22_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].patternSearch/p_0_in | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[46].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__45_n_0 | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].patternSearch/consecFalseHeaders0 | | 1 | 3 | 3.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].patternSearch/p_0_in | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 2 | 3 | 1.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__21_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].patternSearch/consecFalseHeaders0 | | 1 | 3 | 3.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].patternSearch/p_0_in | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__20_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].patternSearch/p_0_in | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 2 | 3 | 1.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].patternSearch/consecFalseHeaders0 | | 1 | 3 | 3.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__19_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].patternSearch/p_0_in | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].patternSearch/consecFalseHeaders0 | | 1 | 3 | 3.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__18_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].patternSearch/p_0_in | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 2 | 3 | 1.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].patternSearch/consecFalseHeaders0 | | 1 | 3 | 3.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__17_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].patternSearch/p_0_in | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].patternSearch/consecFalseHeaders0 | | 1 | 3 | 3.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__16_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].patternSearch/p_0_in | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].patternSearch/consecFalseHeaders0 | | 1 | 3 | 3.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__15_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].patternSearch/consecFalseHeaders0 | | 1 | 3 | 3.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].patternSearch/p_0_in | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 2 | 3 | 1.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__14_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[47].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__46_n_0 | ipb_rst_BUFG | 2 | 3 | 1.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].patternSearch/p_0_in | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].patternSearch/consecFalseHeaders0 | | 1 | 3 | 3.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__13_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].patternSearch/p_0_in | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].patternSearch/consecFalseHeaders0 | | 2 | 3 | 1.50 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__12_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].patternSearch/p_0_in | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 2 | 3 | 1.50 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].patternSearch/consecFalseHeaders0 | | 1 | 3 | 3.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__11_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__7_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].patternSearch/p_0_in | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 2 | 3 | 1.50 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].patternSearch/consecFalseHeaders0 | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 2 | 3 | 1.50 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 2 | 3 | 1.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__6_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].patternSearch/p_0_in | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].patternSearch/consecFalseHeaders0 | | 2 | 3 | 1.50 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__42_n_0 | SFP_GEN[22].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 3 | 1.50 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__5_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].patternSearch/p_0_in | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 2 | 3 | 1.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].patternSearch/consecFalseHeaders0 | | 1 | 3 | 3.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__4_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].patternSearch/consecFalseHeaders0 | | 1 | 3 | 3.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].patternSearch/p_0_in | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__3_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].patternSearch/consecFalseHeaders0 | | 2 | 3 | 1.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].patternSearch/p_0_in | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__10_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].patternSearch/consecFalseHeaders0 | | 2 | 3 | 1.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].patternSearch/p_0_in | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__9_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[0].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1_n_0 | ipb_rst_BUFG | 2 | 3 | 1.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].patternSearch/consecFalseHeaders0 | | 1 | 3 | 3.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].patternSearch/p_0_in | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__8_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].patternSearch/p_0_in | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].patternSearch/consecFalseHeaders0 | | 2 | 3 | 1.50 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].patternSearch/p_0_in | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].patternSearch/consecFalseHeaders0 | | 1 | 3 | 3.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__1_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].patternSearch/p_0_in | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].patternSearch/consecFalseHeaders0 | | 1 | 3 | 3.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].rxBitSlipControl/FSM_onehot_clkSlipProcess.state[2]_i_1__2_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].patternSearch/p_0_in | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].patternSearch/consecFalseHeaders0 | | 1 | 3 | 3.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[9].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__8_n_0 | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | tx_wordclk | TX_CLKEN_repN_59 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/AR[0] | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 1 | 3 | 3.00 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 3 | 3.00 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 1 | 3 | 3.00 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 2 | 3 | 1.50 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | CLK | SFP_GEN[10].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__9_n_0 | ipb_rst_BUFG | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 3 | 3.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 1 | 3 | 3.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 3 | 3.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 1 | 3 | 3.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 2 | 3 | 1.50 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 1 | 3 | 3.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 3 | 3.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 3 | 3.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 1 | 3 | 3.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 3 | 3.00 | | CLK | SFP_GEN[29].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__28_n_0 | ipb_rst_BUFG | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 1 | 3 | 3.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 3 | 3.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 1 | 3 | 3.00 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 1 | 3 | 3.00 | | CLK | SFP_GEN[11].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__10_n_0 | ipb_rst_BUFG | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 1 | 3 | 3.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 1 | 3 | 3.00 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 3 | 3.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 1 | 3 | 3.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__24_n_0 | SFP_GEN[34].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 3 | 1.50 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 1 | 3 | 3.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 2 | 3 | 1.50 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 3 | 3.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 1 | 3 | 3.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 2 | 3 | 1.50 | | CLK | SFP_GEN[12].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__11_n_0 | ipb_rst_BUFG | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 2 | 3 | 1.50 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_ctr0_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_all_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 2 | 3 | 1.50 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[9].gbt_txgearbox_inst/address[2]_i_1__36_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/gbt_txreset_s[0] | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[8].gbt_txgearbox_inst/address[2]_i_1__38_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/gbt_txreset_s[0] | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[7].gbt_txgearbox_inst/address[2]_i_1__37_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/gbt_txreset_s[0] | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[6].gbt_txgearbox_inst/address[2]_i_1__35_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/AR[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[5].gbt_txgearbox_inst/address[2]_i_1__43_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/gbt_txreset_s[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[4].gbt_txgearbox_inst/address[2]_i_1__42_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbt_txreset_s[0] | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[3].gbt_txgearbox_inst/address[2]_i_1__41_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbt_txreset_s[0] | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[2].gbt_txgearbox_inst/address[2]_i_1__40_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbt_txreset_s[0] | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[1].gbt_txgearbox_inst/address[2]_i_1__45_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbt_txreset_s[0] | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[11].gbt_txgearbox_inst/address[2]_i_1__44_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/gbt_txreset_s[0] | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[10].gbt_txgearbox_inst/address[2]_i_1__39_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/gbt_txreset_s[0] | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[0].gbt_txgearbox_inst/address[2]_i_1__46_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/gbt_txreset_s[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[9].gbt_txgearbox_inst/address[2]_i_1__24_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/gbt_txreset_s[0] | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[8].gbt_txgearbox_inst/address[2]_i_1__26_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/gbt_txreset_s[0] | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[13].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__12_n_0 | ipb_rst_BUFG | 3 | 3 | 1.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[7].gbt_txgearbox_inst/address[2]_i_1__25_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/gbt_txreset_s[0] | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[6].gbt_txgearbox_inst/address[2]_i_1__23_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/AR[0] | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[5].gbt_txgearbox_inst/address[2]_i_1__31_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/gbt_txreset_s[0] | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[4].gbt_txgearbox_inst/address[2]_i_1__30_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbt_txreset_s[0] | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[3].gbt_txgearbox_inst/address[2]_i_1__29_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbt_txreset_s[0] | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[2].gbt_txgearbox_inst/address[2]_i_1__28_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbt_txreset_s[0] | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[1].gbt_txgearbox_inst/address[2]_i_1__33_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbt_txreset_s[0] | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[11].gbt_txgearbox_inst/address[2]_i_1__32_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/gbt_txreset_s[0] | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[10].gbt_txgearbox_inst/address[2]_i_1__27_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/gbt_txreset_s[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[0].gbt_txgearbox_inst/address[2]_i_1__34_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/gbt_txreset_s[0] | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 2 | 3 | 1.50 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[9].gbt_txgearbox_inst/address[2]_i_1__12_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/gbt_txreset_s[0] | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[8].gbt_txgearbox_inst/address[2]_i_1__14_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/gbt_txreset_s[0] | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[7].gbt_txgearbox_inst/address[2]_i_1__13_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/gbt_txreset_s[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[6].gbt_txgearbox_inst/address[2]_i_1__11_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/AR[0] | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[5].gbt_txgearbox_inst/address[2]_i_1__19_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/gbt_txreset_s[0] | 2 | 3 | 1.50 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[4].gbt_txgearbox_inst/address[2]_i_1__18_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbt_txreset_s[0] | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[3].gbt_txgearbox_inst/address[2]_i_1__17_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbt_txreset_s[0] | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[2].gbt_txgearbox_inst/address[2]_i_1__16_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbt_txreset_s[0] | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[1].gbt_txgearbox_inst/address[2]_i_1__21_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbt_txreset_s[0] | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[11].gbt_txgearbox_inst/address[2]_i_1__20_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/gbt_txreset_s[0] | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[10].gbt_txgearbox_inst/address[2]_i_1__15_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/gbt_txreset_s[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[0].gbt_txgearbox_inst/address[2]_i_1__22_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/gbt_txreset_s[0] | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[0].gbt_txgearbox_inst/address[2]_i_1__10_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/gbt_txreset_s[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[11].gbt_txgearbox_inst/address[2]_i_1__8_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/gbt_txreset_s[0] | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[10].gbt_txgearbox_inst/address[2]_i_1__3_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/gbt_txreset_s[0] | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[1].gbt_txgearbox_inst/address[2]_i_1__9_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbt_txreset_s[0] | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[4].gbt_txgearbox_inst/address[2]_i_1__6_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbt_txreset_s[0] | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[6].gbt_txgearbox_inst/address[2]_i_1_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/AR[0] | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[5].gbt_txgearbox_inst/address[2]_i_1__7_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/gbt_txreset_s[0] | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[8].gbt_txgearbox_inst/address[2]_i_1__2_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/gbt_txreset_s[0] | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[7].gbt_txgearbox_inst/address[2]_i_1__1_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/gbt_txreset_s[0] | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[9].gbt_txgearbox_inst/address[2]_i_1__0_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/gbt_txreset_s[0] | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[3].gbt_txgearbox_inst/address[2]_i_1__5_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbt_txreset_s[0] | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_txgearbox_multilink_gen[2].gbt_txgearbox_inst/address[2]_i_1__4_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbt_txreset_s[0] | 1 | 3 | 3.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[15].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__14_n_0 | ipb_rst_BUFG | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 1 | 3 | 3.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 2 | 3 | 1.50 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtpowergood_inst/E[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_ctr0_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/p_0_in | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_timer_clr_reg_n_0 | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_userclk_rx_active_inst/E[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 1 | 3 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst/E[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 2 | 3 | 1.50 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 2 | 3 | 1.50 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 3 | 3 | 1.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__39_n_0 | SFP_GEN[21].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 3 | 1.50 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 3 | 3 | 1.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__7_n_0 | SFP_GEN[35].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 3 | 1.50 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[16].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__15_n_0 | ipb_rst_BUFG | 2 | 3 | 1.50 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 3 | 3 | 1.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 2 | 3 | 1.50 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[17].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__16_n_0 | ipb_rst_BUFG | 3 | 3 | 1.00 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__33_n_0 | SFP_GEN[36].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 3 | 1.50 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 2 | 3 | 1.50 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 1 | 3 | 3.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__41_n_0 | SFP_GEN[24].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 3 | 1.50 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 2 | 3 | 1.50 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__31_n_0 | SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 3 | 3 | 1.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[18].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__17_n_0 | ipb_rst_BUFG | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 3 | 3 | 1.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any_sync | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_5[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 2 | 3 | 1.50 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__35_n_0 | SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 1 | 3 | 3.00 | | CLK | SFP_GEN[1].ngFEC_module/buffer_ngccm_jtag/FSM_sequential_fe_status[2]_i_1__0_n_0 | ipb_rst_BUFG | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__34_n_0 | SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__16_n_0 | SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__28_n_0 | SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__13_n_0 | SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__30_n_0 | SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 3 | 3 | 1.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__46_n_0 | SFP_GEN[46].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 3 | 3 | 1.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__11_n_0 | SFP_GEN[45].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__45_n_0 | SFP_GEN[44].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 3 | 3 | 1.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__9_n_0 | SFP_GEN[43].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 3 | 3 | 1.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__8_n_0 | SFP_GEN[42].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__15_n_0 | SFP_GEN[41].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 3 | 3 | 1.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__12_n_0 | SFP_GEN[40].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 3 | 3 | 1.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 2 | 3 | 1.50 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/FSM_sequential_StateJTAGIO[2]_i_1__38_n_0 | SFP_GEN[39].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 3 | 3 | 1.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | | 1 | 3 | 3.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[31].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__325_n_0 | 2 | 4 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/AR[0] | 3 | 4 | 1.33 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[1].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[1].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | 4.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[1].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[31].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__326_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[31].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__323_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[31].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__324_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[27].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__269_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[18].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__468_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[31].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__321_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[27].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__268_n_0 | 2 | 4 | 2.00 | | i_tcds2_if/fabric_clk_in | | ctrl_regs_inst/prbs_rst_reg[3] | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[31].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__322_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[31].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__330_n_0 | 1 | 4 | 4.00 | | i_tcds2_if/i_mgt_wrapper/i_rxusrclk/bbstub_rxoutclk_out[0] | | i_tcds2_if/cmp_lpgbtfpga_uplink/rxgearbox_10g_gen.rxGearbox_10g24_inst/sta_gbRdy_o | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[31].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__331_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[31].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__320_n_0 | 1 | 4 | 4.00 | | i_tcds2_if/i_mgt_wrapper/i_rxusrclk/bbstub_rxoutclk_out[0] | i_tcds2_if/cmp_lpgbtfpga_uplink/lpgbtfpga_framealigner_inst/consecCorrectHeaders0 | i_tcds2_if/cmp_lpgbtfpga_uplink/lpgbtfpga_framealigner_inst/lockFSM_proc.consecCorrectHeaders[5]_i_1_n_0 | 2 | 4 | 2.00 | | i_tcds2_if/i_mgt_wrapper/i_rxusrclk/bbstub_rxoutclk_out[0] | i_tcds2_if/cmp_lpgbtfpga_uplink/lpgbtfpga_framealigner_inst/timer0 | | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[27].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__267_n_0 | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__6_n_0 | SFP_GEN[30].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__6_n_0 | SFP_GEN[30].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[27].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__266_n_0 | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[18].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__467_n_0 | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[30].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__92_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[37].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__254_n_0 | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[37].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__253_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[37].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__252_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[37].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__251_n_0 | 1 | 4 | 4.00 | | DRPclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 4 | 4.00 | | DRPclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 4 | 4.00 | | DRPclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 4 | 4.00 | | DRPclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 4 | 4.00 | | DRPclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 4 | 4.00 | | DRPclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 4 | 4.00 | | DRPclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 4 | 4.00 | | DRPclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 4 | 4.00 | | DRPclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 4 | 4.00 | | DRPclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 4 | 4.00 | | DRPclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_init/reset_synchronizer_reset_all_inst/rst_out | 2 | 4 | 2.00 | | DRPclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[18].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__466_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[30].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__85_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[30].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__84_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[30].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__94_n_0 | 1 | 4 | 4.00 | | DRPclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 4 | 4.00 | | DRPclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_init/reset_synchronizer_reset_all_inst/rst_out | 2 | 4 | 2.00 | | DRPclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_init/reset_synchronizer_reset_all_inst/rst_out | 2 | 4 | 2.00 | | DRPclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_init/reset_synchronizer_reset_all_inst/rst_out | 2 | 4 | 2.00 | | DRPclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 4 | 4.00 | | DRPclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 4 | 4.00 | | DRPclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_init/reset_synchronizer_reset_all_inst/rst_out | 2 | 4 | 2.00 | | DRPclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_init/reset_synchronizer_reset_all_inst/rst_out | 2 | 4 | 2.00 | | DRPclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_init/reset_synchronizer_reset_all_inst/rst_out | 2 | 4 | 2.00 | | DRPclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_init/reset_synchronizer_reset_all_inst/rst_out | 2 | 4 | 2.00 | | DRPclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 4 | 4.00 | | DRPclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_init/reset_synchronizer_reset_all_inst/rst_out | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[30].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__93_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[18].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__465_n_0 | 1 | 4 | 4.00 | | DRPclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 4 | 4.00 | | DRPclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 4 | 4.00 | | DRPclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 4 | 4.00 | | DRPclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 4 | 4.00 | | DRPclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 4 | 4.00 | | DRPclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 4 | 4.00 | | DRPclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 4 | 4.00 | | DRPclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 4 | 4.00 | | DRPclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_init/reset_synchronizer_reset_all_inst/rst_out | 2 | 4 | 2.00 | | DRPclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_init/reset_synchronizer_reset_all_inst/rst_out | 2 | 4 | 2.00 | | DRPclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_init/reset_synchronizer_reset_all_inst/rst_out | 2 | 4 | 2.00 | | DRPclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[30].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__83_n_0 | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__29_n_0 | SFP_GEN[29].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__29_n_0 | SFP_GEN[29].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[18].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__475_n_0 | 2 | 4 | 2.00 | | DRPclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 4 | 4.00 | | DRPclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 4 | 4.00 | | DRPclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 4 | 4.00 | | DRPclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_init/reset_synchronizer_reset_all_inst/rst_out | 2 | 4 | 2.00 | | DRPclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_init/reset_synchronizer_reset_all_inst/rst_out | 2 | 4 | 2.00 | | DRPclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 4 | 4.00 | | DRPclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 4 | 4.00 | | DRPclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 4 | 4.00 | | DRPclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_init/reset_synchronizer_reset_all_inst/rst_out | 2 | 4 | 2.00 | | DRPclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 4 | 4.00 | | DRPclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 4 | 4.00 | | DRPclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_init/reset_synchronizer_reset_all_inst/rst_out | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[27].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__265_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[29].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__377_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[27].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__264_n_0 | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[29].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__376_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[27].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__263_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[18].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__474_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__43_n_0 | SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[27].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__262_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[29].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__375_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[27].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__261_n_0 | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[29].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__374_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[27].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__271_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[29].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__371_n_0 | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[18].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__464_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[27].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__270_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[27].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__260_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[29].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__370_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[29].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__369_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__27_n_0 | SFP_GEN[26].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__27_n_0 | SFP_GEN[26].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[29].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__379_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[12].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__536_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[26].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__353_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[46].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[46].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__34_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__34_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 3 | 4 | 1.33 | | CLK | | SFP_GEN[39].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__33_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[39].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__33_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 3 | 4 | 1.33 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[30].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__90_n_0 | 2 | 4 | 2.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__32_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__32_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 3 | 4 | 1.33 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[20].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__456_n_0 | 2 | 4 | 2.00 | | CLK | | SFP_GEN[39].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[39].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[10].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__306_n_0 | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__31_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__30_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[39].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__30_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__31_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__29_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[39].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__29_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[46].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__28_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[39].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__28_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__27_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[39].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__27_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__26_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[39].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[39].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__25_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__25_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[20].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__455_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[46].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[39].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__26_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 3 | 4 | 1.33 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__24_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 4 | 4.00 | | CLK | | SFP_GEN[39].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__24_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__23_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 4 | 4.00 | | CLK | | SFP_GEN[39].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__23_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 3 | 4 | 1.33 | | CLK | | SFP_GEN[46].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[24].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__515_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[37].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__248_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[46].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[10].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__296_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[46].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[23].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__281_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[46].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[46].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[46].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[3].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[24].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__523_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[46].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[3].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[46].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[20].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | CLK | | SFP_GEN[45].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[3].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__22_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 4 | 4.00 | | CLK | | SFP_GEN[3].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[13].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__3_n_0 | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__21_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 4 | 4.00 | | CLK | | SFP_GEN[3].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[21].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__497_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[3].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[45].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[19].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__56_n_0 | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__21_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__22_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[35].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__97_n_0 | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__20_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 4 | 4.00 | | CLK | | SFP_GEN[3].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[45].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__20_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[20].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[20].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__19_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 4 | 4.00 | | CLK | | SFP_GEN[45].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[3].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[45].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__19_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__18_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 3 | 4 | 1.33 | | CLK | | SFP_GEN[3].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[3].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[45].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[35].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__98_n_0 | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[14].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__18_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[45].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__18_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 3 | 4 | 1.33 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__17_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__17_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/AR[0] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[3].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[20].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__452_n_0 | 2 | 4 | 2.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__16_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 4 | 4.00 | | CLK | | SFP_GEN[45].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__16_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[35].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__99_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[3].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__15_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[45].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[3].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[13].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__9_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[45].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__15_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[3].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[14].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__19_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[21].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__496_n_0 | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__14_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__14_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 3 | 4 | 1.33 | | CLK | | SFP_GEN[38].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__13_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 4 | 4.00 | | CLK | | SFP_GEN[45].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__13_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 4 | 4.00 | | CLK | | SFP_GEN[38].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[45].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[37].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__250_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[45].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[38].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[38].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__12_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[38].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__21_n_0 | SFP_GEN[23].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__12_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__11_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[38].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[45].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[33].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__11_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[20].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__463_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[44].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[44].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[37].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__249_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[44].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[44].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[38].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[44].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[28].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[19].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | CLK | | SFP_GEN[44].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[19].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[19].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[20].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__462_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__26_n_0 | SFP_GEN[0].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | CLK | | SFP_GEN[44].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[44].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[21].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__495_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[44].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[44].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[44].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[44].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[38].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[44].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[35].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__101_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/AR[0] | 3 | 4 | 1.33 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__26_n_0 | SFP_GEN[0].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[14].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__20_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[0].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__341_n_0 | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[0].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__340_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[11].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__439_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[0].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__339_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[44].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[13].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__0_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[21].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__494_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[28].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[28].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[0].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__338_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[11].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__429_n_0 | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[18].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | CLK | | SFP_GEN[38].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__8_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__8_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[38].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[38].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__9_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[38].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[38].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[0].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__337_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[35].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__102_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[18].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[18].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__10_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[38].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__10_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__0_n_0 | SFP_GEN[14].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__0_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[34].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__0_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__1_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 4 | 4.00 | | CLK | | SFP_GEN[34].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__1_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__2_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[34].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | 2.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__2_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 3 | 4 | 1.33 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__3_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 4 | 4.00 | | CLK | | SFP_GEN[34].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[21].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__493_n_0 | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__3_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__4_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[34].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[34].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__4_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[35].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__103_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__0_n_0 | SFP_GEN[14].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__5_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[34].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__5_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[34].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[0].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__336_n_0 | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__6_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 3 | 4 | 1.33 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/AR[0] | 3 | 4 | 1.33 | | CLK | | SFP_GEN[34].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[34].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__6_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[11].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__437_n_0 | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__7_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[0].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__335_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[34].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[43].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[35].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__104_n_0 | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__7_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/AR[0] | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[11].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__428_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[17].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[15].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__23_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[17].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[17].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[11].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__438_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[0].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__334_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[35].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__100_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/AR[0] | 3 | 4 | 1.33 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[23].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__277_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[15].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__33_n_0 | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[15].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__34_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[0].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__333_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[34].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[0].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__343_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__23_n_0 | SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[15].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__24_n_0 | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[13].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__4_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[33].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[33].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 2 | 4 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[16].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[0].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__342_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[16].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[16].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__7_n_0 | SFP_GEN[35].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__7_n_0 | SFP_GEN[35].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__39_n_0 | SFP_GEN[21].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[0].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__332_n_0 | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__10_n_0 | SFP_GEN[47].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/AR[0] | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[15].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__25_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__10_n_0 | SFP_GEN[47].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 2 | 4 | 2.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[15].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__26_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__23_n_0 | SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__39_n_0 | SFP_GEN[21].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[34].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[34].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[23].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__279_n_0 | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 4 | 4.00 | | CLK | | SFP_GEN[43].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[33].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[33].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 2 | 4 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[38].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[38].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[47].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__149_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[33].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[21].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__492_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[20].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__461_n_0 | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 3 | 4 | 1.33 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 2 | 4 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[15].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[47].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__148_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[15].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[15].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[15].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__27_n_0 | 2 | 4 | 2.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 3 | 4 | 1.33 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[12].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__540_n_0 | 2 | 4 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/AR[0] | 2 | 4 | 2.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 3 | 4 | 1.33 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[47].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__147_n_0 | 2 | 4 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/AR[0] | 3 | 4 | 1.33 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[47].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__146_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[36].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__416_n_0 | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 2 | 4 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[27].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[13].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__5_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[33].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[33].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[38].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 3 | 4 | 1.33 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[47].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__145_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[23].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__274_n_0 | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[23].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__275_n_0 | 2 | 4 | 2.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 4 | 4.00 | | CLK | | SFP_GEN[33].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[27].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[27].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | 4.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[14].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 3 | 4 | 1.33 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[47].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__144_n_0 | 2 | 4 | 2.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[11].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__436_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[10].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__305_n_0 | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 3 | 4 | 1.33 | | CLK | | SFP_GEN[43].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 2 | 4 | 2.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 4 | 4.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[14].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[14].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 3 | 4 | 1.33 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[24].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__513_n_0 | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[21].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__491_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[36].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__426_n_0 | 2 | 4 | 2.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 2 | 4 | 2.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[47].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__143_n_0 | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 3 | 4 | 1.33 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 4 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/AR[0] | 2 | 4 | 2.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 4 | 4.00 | | CLK | | SFP_GEN[43].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[10].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__304_n_0 | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 2 | 4 | 2.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 4 | 4.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/AR[0] | 2 | 4 | 2.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 4 | 4.00 | | CLK | | SFP_GEN[33].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 3 | 4 | 1.33 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[10].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__303_n_0 | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 2 | 4 | 2.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[47].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__142_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[24].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__516_n_0 | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 2 | 4 | 2.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[36].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__427_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[15].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__28_n_0 | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 2 | 4 | 2.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[47].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__141_n_0 | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 2 | 4 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/AR[0] | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[15].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__29_n_0 | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[23].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__280_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[33].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[39].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[39].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[47].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__140_n_0 | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 4 | 4 | 1.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[12].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__545_n_0 | 2 | 4 | 2.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 3 | 4 | 1.33 | | CLK | | SFP_GEN[33].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[10].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__302_n_0 | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[36].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__417_n_0 | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 2 | 4 | 2.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 4 | 4.00 | | CLK | | SFP_GEN[43].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/AR[0] | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[23].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__278_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[13].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[26].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 2 | 4 | 2.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 4 | 4.00 | | CLK | | SFP_GEN[33].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[36].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__418_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[21].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__490_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[43].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 3 | 4 | 1.33 | | CLK | | SFP_GEN[33].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[23].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__283_n_0 | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[15].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__30_n_0 | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 4 | 4.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[13].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[13].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/AR[0] | 2 | 4 | 2.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 2 | 4 | 2.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 3 | 4 | 1.33 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[47].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__151_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[33].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[13].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__6_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[15].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__31_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[43].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/AR[0] | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[47].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__150_n_0 | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[36].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__419_n_0 | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[10].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__301_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[9].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__188_n_0 | 2 | 4 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[26].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[26].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 3 | 4 | 1.33 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 2 | 4 | 2.00 | | CLK | | SFP_GEN[33].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[11].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__432_n_0 | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[15].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__32_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[33].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[36].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__420_n_0 | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[21].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__489_n_0 | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 3 | 4 | 1.33 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[23].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__273_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[39].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[9].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__198_n_0 | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[13].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__7_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__1_n_0 | SFP_GEN[15].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 3 | 4 | 1.33 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[9].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__199_n_0 | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[24].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__512_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[32].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[10].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__300_n_0 | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[36].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__421_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[13].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/AR[0] | 3 | 4 | 1.33 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 4 | 4.00 | | CLK | | SFP_GEN[32].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[32].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/AR[0] | 3 | 4 | 1.33 | | CLK | | SFP_GEN[43].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 3 | 4 | 1.33 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 4 | 4.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[12].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__1_n_0 | SFP_GEN[15].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 4 | 2.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 2 | 4 | 2.00 | | CLK | | SFP_GEN[32].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[36].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__422_n_0 | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[21].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__499_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[12].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[12].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[32].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[32].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[9].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__189_n_0 | 2 | 4 | 2.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 3 | 4 | 1.33 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[16].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__35_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[13].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__8_n_0 | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[9].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__190_n_0 | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[23].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__272_n_0 | 2 | 4 | 2.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 3 | 4 | 1.33 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 4 | 4.00 | | CLK | | SFP_GEN[32].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[10].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__299_n_0 | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[36].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__423_n_0 | 2 | 4 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/AR[0] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[43].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[10].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__298_n_0 | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[23].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__282_n_0 | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 3 | 4 | 1.33 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 4 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[40].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[40].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/AR[0] | 2 | 4 | 2.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 2 | 4 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[40].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | CLK | | SFP_GEN[32].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[32].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[32].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[32].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[32].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[32].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[32].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[32].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[24].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__514_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[32].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[32].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[31].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[31].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[31].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[31].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[31].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[31].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[31].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[31].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[31].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[21].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__498_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[31].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[31].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[36].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__424_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[31].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[31].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[31].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[30].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[30].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[30].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[30].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[30].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[36].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[36].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 2 | 4 | 2.00 | | CLK | | SFP_GEN[30].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[30].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[30].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[30].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[30].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[30].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[30].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[30].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[30].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[29].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[29].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[11].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__430_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[29].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[29].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[36].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__425_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[29].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[29].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[24].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__517_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[29].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[9].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__191_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[29].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[29].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[29].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[16].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__45_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[29].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[29].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[29].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[29].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[9].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__192_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[2].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[2].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[9].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__193_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[2].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[2].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[16].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__46_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[2].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[2].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[20].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__453_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[2].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[2].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[43].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[2].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[2].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__42_n_0 | SFP_GEN[22].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[2].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[2].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[2].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[43].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[2].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/AR[0] | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[20].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__454_n_0 | 2 | 4 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[8].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | CLK | | SFP_GEN[28].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[12].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__539_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[28].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[25].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__33_n_0 | SFP_GEN[36].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 3 | 4 | 1.33 | | CLK | | SFP_GEN[28].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[8].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[8].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[21].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__488_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[28].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[28].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__33_n_0 | SFP_GEN[36].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[36].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | CLK | | SFP_GEN[28].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[28].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[28].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/AR[0] | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__42_n_0 | SFP_GEN[22].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | CLK | | SFP_GEN[28].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__41_n_0 | SFP_GEN[24].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[28].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[9].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__194_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[28].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__41_n_0 | SFP_GEN[24].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | CLK | | SFP_GEN[28].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[31].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | CLK | | SFP_GEN[28].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1_n_0 | SFP_GEN[13].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 3 | 4 | 1.33 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[41].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[41].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 2 | 4 | 2.00 | | CLK | | SFP_GEN[28].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[27].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[27].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[47].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[9].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__195_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[27].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[27].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__31_n_0 | SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 4 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[25].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[25].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[27].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[27].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[10].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__297_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[27].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[27].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__14_n_0 | SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__31_n_0 | SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | CLK | | SFP_GEN[27].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[27].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[31].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[31].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[27].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__14_n_0 | SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | CLK | | SFP_GEN[27].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[9].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__196_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[27].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[27].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[22].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__533_n_0 | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[16].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__36_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[26].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[26].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[43].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[26].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[26].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[26].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/AR[0] | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[1].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__401_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[9].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__197_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[26].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[7].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | CLK | | SFP_GEN[26].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[26].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[26].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[11].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__433_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[26].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[30].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__89_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[26].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | clk250 | stat_regs_inst/wea_repN_3 | stat_regs_inst/S1_rate[6]_i_1_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[26].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[26].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[26].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[25].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[25].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[25].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[25].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[25].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[25].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[25].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[25].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[25].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[25].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[25].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[1].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__400_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[25].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[25].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[25].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[24].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[24].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[24].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[24].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[24].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/AR[0] | 3 | 4 | 1.33 | | CLK | | SFP_GEN[24].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[24].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[24].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[24].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[24].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[24].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[24].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[24].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | clk250 | g_clock_rate_din[10].i_rate_ngccm_status0/E[0]_repN_6 | | 1 | 4 | 4.00 | | CLK | | SFP_GEN[24].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[23].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[23].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[37].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[37].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[37].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[37].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[37].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[37].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[37].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[22].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[1].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__399_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[22].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[22].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1_n_0 | SFP_GEN[13].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[22].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[22].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[22].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[22].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[22].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[14].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__21_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[22].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[22].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[22].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[22].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[22].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[16].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__37_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[22].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[23].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[23].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[23].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[1].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__398_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[7].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[7].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[37].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[37].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[37].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/AR[0] | 3 | 4 | 1.33 | | CLK | | SFP_GEN[37].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[37].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[16].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__38_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[47].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[47].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[21].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[10].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__307_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[21].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[21].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[1].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__397_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[35].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[20].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__457_n_0 | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 2 | 4 | 2.00 | | CLK | | SFP_GEN[21].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[20].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__458_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[16].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__39_n_0 | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 2 | 4 | 2.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[16].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__40_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[6].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[12].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__538_n_0 | 2 | 4 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[6].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[6].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | 4.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 2 | 4 | 2.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 3 | 4 | 1.33 | | CLK | | SFP_GEN[21].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[21].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[21].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[1].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__396_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[16].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__41_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[21].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[34].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | CLK | | SFP_GEN[21].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[21].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[43].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[21].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[41].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | CLK | | SFP_GEN[21].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[14].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__11_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[21].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[21].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[35].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/AR[0] | 1 | 4 | 4.00 | | CLK | | SFP_GEN[35].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__34_n_0 | SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[16].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__42_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[1].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__395_n_0 | 3 | 4 | 1.33 | | CLK | | SFP_GEN[35].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[35].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[35].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[35].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[16].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__43_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[35].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[24].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__519_n_0 | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[12].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__537_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[35].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[35].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[35].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[35].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[35].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[5].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[1].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__394_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[16].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__44_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[30].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__88_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[35].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[35].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[23].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[23].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[5].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[5].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 2 | 4 | 2.00 | | CLK | | SFP_GEN[23].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[24].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__520_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[23].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/AR[0] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[23].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[23].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[23].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[24].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__521_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[22].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__532_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[23].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[23].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[1].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__393_n_0 | 2 | 4 | 2.00 | | CLK | | SFP_GEN[36].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[36].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[42].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[42].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[36].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[36].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[20].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__459_n_0 | 2 | 4 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[42].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | CLK | | SFP_GEN[36].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[36].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[36].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[1].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__403_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[25].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__59_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[36].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[25].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__69_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[36].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[36].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[36].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[36].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[36].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[36].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[37].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[37].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[1].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[1].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[1].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[1].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[1].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[1].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[25].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__70_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__35_n_0 | SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__35_n_0 | SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[25].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__60_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[1].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[4].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | CLK | | SFP_GEN[1].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[43].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[1].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[8].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__449_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[1].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[1].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__402_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[8].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__448_n_0 | 2 | 4 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[4].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[4].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[1].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[8].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__447_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[20].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__460_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[1].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[1].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[8].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__446_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/AR[0] | 3 | 4 | 1.33 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/AR[0] | 3 | 4 | 1.33 | | CLK | | SFP_GEN[1].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[8].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__445_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[25].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__61_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[18].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[8].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__444_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[43].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[43].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[18].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[24].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[8].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__443_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[30].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__87_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[18].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[8].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__442_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[34].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[34].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[18].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[18].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[8].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__441_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[22].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__531_n_0 | 2 | 4 | 2.00 | | CLK | | SFP_GEN[18].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[8].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__451_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[25].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__62_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[1].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__392_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[25].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__63_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__2_n_0 | SFP_GEN[16].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[11].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__435_n_0 | 2 | 4 | 2.00 | | CLK | | SFP_GEN[18].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[8].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__450_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[25].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__64_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[18].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[11].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__37_n_0 | SFP_GEN[18].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[8].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__440_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__16_n_0 | SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 3 | 4 | 1.33 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__2_n_0 | SFP_GEN[16].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | CLK | | SFP_GEN[18].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[18].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[25].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__65_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[11].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[11].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__16_n_0 | SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[13].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__1_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[42].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[18].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[7].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__220_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[18].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[7].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__221_n_0 | 2 | 4 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/AR[0] | 3 | 4 | 1.33 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[25].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__66_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[18].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[7].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__218_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[18].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[30].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__86_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[7].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__219_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[7].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__217_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[19].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__47_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[7].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__216_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[17].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[17].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/AR[0] | 3 | 4 | 1.33 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[7].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__215_n_0 | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[34].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__315_n_0 | 2 | 4 | 2.00 | | CLK | | SFP_GEN[17].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[7].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__214_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[17].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[19].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__57_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[17].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[24].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[24].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[7].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__223_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[17].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[42].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[7].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__213_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[42].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[7].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__212_n_0 | 3 | 4 | 1.33 | | CLK | | SFP_GEN[17].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[17].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[7].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__222_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[25].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__67_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__28_n_0 | SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__28_n_0 | SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | CLK | | SFP_GEN[20].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[12].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__547_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[17].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[17].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__284_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[17].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[6].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__365_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[14].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__12_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[17].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[6].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__364_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[25].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__68_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[17].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[6].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__363_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[10].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[6].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__362_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[17].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__4_n_0 | SFP_GEN[25].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[17].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[6].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__361_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__4_n_0 | SFP_GEN[25].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[16].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[6].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__360_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[22].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__530_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[6].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__359_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[16].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/AR[0] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[16].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[6].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__358_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[26].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__344_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[16].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[6].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__357_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[42].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[16].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[6].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__367_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[34].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__316_n_0 | 2 | 4 | 2.00 | | CLK | | SFP_GEN[15].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[6].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__366_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[10].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[10].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 2 | 4 | 2.00 | | CLK | | SFP_GEN[15].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[6].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__356_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[43].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__13_n_0 | SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__13_n_0 | SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | CLK | | SFP_GEN[15].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/AR[0] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[15].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[26].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__355_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[15].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[5].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__185_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[19].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__58_n_0 | 2 | 4 | 2.00 | | CLK | | SFP_GEN[15].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[5].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__184_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[24].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__522_n_0 | 2 | 4 | 2.00 | | CLK | | SFP_GEN[15].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[5].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__183_n_0 | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[19].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__48_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[5].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__182_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[15].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[15].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[5].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__181_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[30].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | CLK | | SFP_GEN[15].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[5].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__180_n_0 | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[14].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__22_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[17].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__294_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[15].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[5].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__179_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/AR[0] | 4 | 4 | 1.00 | | CLK | | SFP_GEN[15].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[5].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__178_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[15].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[5].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__177_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[26].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__354_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[15].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[5].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__187_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[26].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__345_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[14].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[5].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__186_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[30].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[30].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[14].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[5].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__176_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[42].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[17].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__295_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__30_n_0 | SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__30_n_0 | SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | CLK | | SFP_GEN[14].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[14].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[22].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__529_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[34].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__317_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[4].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__389_n_0 | 2 | 4 | 2.00 | | CLK | | SFP_GEN[14].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[12].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__543_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[17].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__285_n_0 | 2 | 4 | 2.00 | | CLK | | SFP_GEN[14].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[4].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__387_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[14].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[23].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[4].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__388_n_0 | 2 | 4 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[9].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[4].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__385_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[14].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[14].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[9].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[9].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[4].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__386_n_0 | 1 | 4 | 4.00 | | CLK | i_I2C_if/I2C_array[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | i_I2C_if/I2C_array[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__139_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[4].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__383_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[14].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[14].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[44].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[44].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[4].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__384_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[14].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[4].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__381_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[14].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[4].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__382_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[12].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__541_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/AR[0] | 4 | 4 | 1.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[4].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__390_n_0 | 2 | 4 | 2.00 | | CLK | | SFP_GEN[14].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[13].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[23].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[23].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[4].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__391_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[13].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[4].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__380_n_0 | 1 | 4 | 4.00 | | CLK | i_I2C_if/I2C_array[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | i_I2C_if/I2C_array[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__138_n_0 | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__46_n_0 | SFP_GEN[46].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__46_n_0 | SFP_GEN[46].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[42].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[46].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__581_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[13].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[19].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__49_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[13].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[13].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | i_I2C_if/I2C_array[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | i_I2C_if/I2C_array[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__137_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[46].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__580_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[13].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[22].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__528_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[46].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__579_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[13].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[44].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | CLK | | SFP_GEN[13].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[46].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__578_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/AR[0] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[13].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[46].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__577_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__36_n_0 | SFP_GEN[20].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[13].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[46].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__576_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[17].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__286_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[13].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[46].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__575_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__24_n_0 | SFP_GEN[34].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | CLK | | SFP_GEN[13].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[46].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__574_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/AR[0] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[13].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[46].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__573_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[42].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[46].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__583_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[13].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/AR[0] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[12].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[46].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__582_n_0 | 1 | 4 | 4.00 | | CLK | i_I2C_if/I2C_array[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | i_I2C_if/I2C_array[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__136_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[12].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[46].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__572_n_0 | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[24].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__518_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__11_n_0 | SFP_GEN[45].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__11_n_0 | SFP_GEN[45].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | CLK | | SFP_GEN[12].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | i_I2C_if/I2C_array[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | i_I2C_if/I2C_array[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__135_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[12].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[42].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[12].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[45].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__161_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__36_n_0 | SFP_GEN[20].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | CLK | | SFP_GEN[12].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[45].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__160_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[42].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[45].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__159_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[12].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[19].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__51_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[12].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[45].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__158_n_0 | 1 | 4 | 4.00 | | CLK | i_I2C_if/I2C_array[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | i_I2C_if/I2C_array[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__134_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[12].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[45].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__157_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[0].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | CLK | | SFP_GEN[12].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[45].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__156_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__24_n_0 | SFP_GEN[34].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[45].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__155_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[12].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | i_I2C_if/I2C_array[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | i_I2C_if/I2C_array[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__133_n_0 | 2 | 4 | 2.00 | | CLK | | SFP_GEN[12].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[45].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__154_n_0 | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[17].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__287_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[12].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[45].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__153_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[0].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[0].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 2 | 4 | 2.00 | | CLK | | SFP_GEN[12].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[45].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__163_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[22].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__527_n_0 | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[45].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__162_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[11].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[19].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__50_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[45].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__152_n_0 | 2 | 4 | 2.00 | | CLK | | SFP_GEN[11].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__45_n_0 | SFP_GEN[44].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[11].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__431_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__45_n_0 | SFP_GEN[44].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[11].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[19].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__52_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[11].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/AR[0] | 3 | 4 | 1.33 | | CLK | | SFP_GEN[11].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[44].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__569_n_0 | 1 | 4 | 4.00 | | CLK | i_I2C_if/I2C_array[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | i_I2C_if/I2C_array[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__132_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[45].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[45].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[11].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[44].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__567_n_0 | 3 | 4 | 1.33 | | CLK | | SFP_GEN[11].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[37].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__259_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[44].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__568_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[37].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[37].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[11].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[44].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__565_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[11].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[19].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__53_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[44].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__566_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[42].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[44].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__563_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[11].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[11].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[12].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__542_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[44].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__564_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[37].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | CLK | | SFP_GEN[11].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[44].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__561_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[11].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[22].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[44].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__562_n_0 | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[44].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__571_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__20_n_0 | SFP_GEN[27].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[44].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__570_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[11].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[19].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[13].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__10_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[44].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__560_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[19].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__20_n_0 | SFP_GEN[27].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__9_n_0 | SFP_GEN[43].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__9_n_0 | SFP_GEN[43].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | CLK | | SFP_GEN[19].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | i_I2C_if/I2C_array[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | i_I2C_if/I2C_array[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__131_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[19].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[28].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__71_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[19].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[43].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__128_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[26].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__346_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[19].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[43].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__127_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[42].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[19].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[43].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__126_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[23].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__276_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[43].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__125_n_0 | 2 | 4 | 2.00 | | CLK | | SFP_GEN[19].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[8].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[19].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[43].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__124_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[12].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__544_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[19].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[43].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__123_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[22].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__526_n_0 | 2 | 4 | 2.00 | | CLK | | SFP_GEN[19].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[43].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__122_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[2].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | CLK | | SFP_GEN[19].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[43].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__121_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[8].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[19].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[43].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__120_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[45].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | CLK | | SFP_GEN[19].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[43].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__130_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[2].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[2].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 2 | 4 | 2.00 | | CLK | | SFP_GEN[20].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[43].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__129_n_0 | 2 | 4 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[22].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[22].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[20].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[43].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__119_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/AR[0] | 3 | 4 | 1.33 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__8_n_0 | SFP_GEN[42].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__8_n_0 | SFP_GEN[42].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 3 | 4 | 1.33 | | CLK | | SFP_GEN[20].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[20].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[14].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__13_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/AR[0] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[20].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[42].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__116_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[8].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[20].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[42].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__115_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[19].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__55_n_0 | 2 | 4 | 2.00 | | CLK | | SFP_GEN[20].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[42].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__114_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[13].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__2_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[20].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[42].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__113_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[20].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[42].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__112_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[8].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[20].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[42].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__111_n_0 | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[14].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__14_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[8].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[20].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[42].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__110_n_0 | 2 | 4 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[29].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | CLK | | SFP_GEN[10].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[42].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__109_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[10].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[42].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__108_n_0 | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[22].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__525_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[10].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[42].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__118_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[37].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__258_n_0 | 2 | 4 | 2.00 | | CLK | | SFP_GEN[10].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[42].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__117_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/AR[0] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[10].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[42].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__107_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[14].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__15_n_0 | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__15_n_0 | SFP_GEN[41].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | CLK | | SFP_GEN[10].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[42].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[10].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[8].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__15_n_0 | SFP_GEN[41].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | CLK | | SFP_GEN[8].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[29].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[29].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 2 | 4 | 2.00 | | CLK | | SFP_GEN[10].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[41].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__208_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[10].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[41].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__209_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[8].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[35].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[35].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[10].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[41].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__206_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[10].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[3].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[41].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__207_n_0 | 2 | 4 | 2.00 | | CLK | | SFP_GEN[8].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[10].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[41].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__204_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[10].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[19].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__54_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[41].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__205_n_0 | 2 | 4 | 2.00 | | CLK | | SFP_GEN[10].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[3].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[3].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[20].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[41].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__202_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[41].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__203_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[8].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[46].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[46].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[20].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[41].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__211_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[16].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[42].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[41].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__201_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[16].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/AR[0] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[16].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[41].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__200_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[41].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__210_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[21].ngCCM_gbt/RX_Clock_40MHz | ipb_rst_BUFG | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__12_n_0 | SFP_GEN[40].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__12_n_0 | SFP_GEN[40].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[29].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__373_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[16].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[16].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[8].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[16].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[40].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__173_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[22].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__535_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[16].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[40].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__172_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[11].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__434_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[40].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__171_n_0 | 2 | 4 | 2.00 | | CLK | | SFP_GEN[16].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__3_n_0 | SFP_GEN[19].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 3 | 4 | 1.33 | | CLK | | SFP_GEN[16].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[40].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__170_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[8].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[0].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[40].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__169_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[8].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[40].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__168_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[0].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[8].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[0].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[40].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__167_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[7].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[0].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[40].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__166_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[7].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[0].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[40].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__165_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[7].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[0].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[40].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__175_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[7].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[0].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[40].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__174_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[7].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[40].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__164_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[0].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__38_n_0 | SFP_GEN[39].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[7].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__38_n_0 | SFP_GEN[39].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | CLK | | SFP_GEN[7].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[0].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[0].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[7].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[0].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[39].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__485_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[7].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[0].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[39].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__484_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[0].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[7].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[0].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[39].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__483_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[7].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[47].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[39].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__482_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[7].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[47].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[39].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__481_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[7].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[47].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[39].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__480_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[29].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__372_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[47].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[39].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__479_n_0 | 2 | 4 | 2.00 | | CLK | | SFP_GEN[47].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[39].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__478_n_0 | 2 | 4 | 2.00 | | CLK | | SFP_GEN[7].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[47].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[39].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__477_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[42].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[6].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[39].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__487_n_0 | 2 | 4 | 2.00 | | CLK | | SFP_GEN[47].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[6].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[47].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[39].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__486_n_0 | 1 | 4 | 4.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[21].ngCCM_gbt/RX_Clock_40MHz | SFP_GEN[21].ngCCM_gbt/CrossClock_DV_cnt/shiftA_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[47].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[39].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__476_n_0 | 2 | 4 | 2.00 | | CLK | | SFP_GEN[6].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[47].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[3].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__508_n_0 | 3 | 4 | 1.33 | | CLK | | SFP_GEN[47].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[6].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[3].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__509_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[3].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__507_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[6].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[47].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[3].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__506_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[47].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[6].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[41].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[47].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[3].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__504_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[6].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[9].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[6].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[3].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__505_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[41].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[3].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__502_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[9].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[9].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[6].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[3].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__503_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[41].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[9].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[3].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__511_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[9].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[41].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[3].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__501_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[41].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[9].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[3].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__500_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[9].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[3].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__510_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__18_n_0 | SFP_GEN[38].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[6].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__18_n_0 | SFP_GEN[38].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | CLK | | SFP_GEN[9].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[6].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[9].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[41].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[9].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[38].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__245_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[41].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[9].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[38].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__244_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[6].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[9].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[38].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__243_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[41].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[38].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__242_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[9].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[6].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[9].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[38].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__241_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[41].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | i_I2C_if/I2C_array[6].ipb_cycle_reg_n_0_[6] | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[38].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__240_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[41].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[38].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__239_n_0 | 1 | 4 | 4.00 | | CLK | | i_I2C_if/I2C_array[5].ipb_cycle_reg_n_0_[5] | 1 | 4 | 4.00 | | CLK | | SFP_GEN[41].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | i_I2C_if/I2C_array[4].ipb_cycle_reg_n_0_[4] | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[38].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__238_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[35].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__95_n_0 | 1 | 4 | 4.00 | | CLK | | i_I2C_if/I2C_array[3].ipb_cycle_reg_n_0_[3] | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[38].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__237_n_0 | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__45_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 3 | 4 | 1.33 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[38].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__247_n_0 | 1 | 4 | 4.00 | | CLK | | i_I2C_if/I2C_array[2].ipb_cycle_reg_n_0_[2] | 1 | 4 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/AR[0] | 2 | 4 | 2.00 | | CLK | | i_I2C_if/I2C_array[0].ipb_cycle_reg_n_0_[0] | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[38].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__246_n_0 | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__44_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 4 | 4.00 | | CLK | | i_I2C_if/p_0_in | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[38].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__236_n_0 | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__44_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__19_n_0 | SFP_GEN[37].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__19_n_0 | SFP_GEN[37].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | CLK | | i_I2C_if/I2C_array[8].ipb_cycle_reg_n_0_[8] | 1 | 4 | 4.00 | | CLK | | SFP_GEN[6].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | i_I2C_if/I2C_array[7].ipb_cycle_reg_n_0_[7] | 1 | 4 | 4.00 | | CLK | | SFP_GEN[41].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[26].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__348_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[37].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__257_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[5].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[17].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__288_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[37].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__256_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[41].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[28].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__81_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[37].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__255_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__40_n_0 | SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[28].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__82_n_0 | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__45_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 3 | 4 | 1.33 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[17].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__289_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[41].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__40_n_0 | SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[22].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__534_n_0 | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__43_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[28].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__72_n_0 | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[34].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__313_n_0 | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__43_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__43_n_0 | SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[34].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__314_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[34].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__312_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[28].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__73_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[35].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__105_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[34].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__311_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[28].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__74_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[5].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[34].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__310_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[5].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[12].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__546_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[34].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__309_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[40].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[26].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__347_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[34].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__319_n_0 | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[28].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__75_n_0 | 2 | 4 | 2.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__42_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[34].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__318_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[17].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__290_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[40].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[28].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__76_n_0 | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[34].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__308_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__17_n_0 | SFP_GEN[33].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | CLK | | SFP_GEN[5].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLKFBIN | ipb/udp_if/status/E[0] | ipb/udp_if/rx_reset_block/SR[0] | 4 | 4 | 1.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__42_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[28].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__77_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[5].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__17_n_0 | SFP_GEN[33].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[33].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__233_n_0 | 3 | 4 | 1.33 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[17].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__291_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[5].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[28].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__78_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[33].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__232_n_0 | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__41_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[28].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__79_n_0 | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[33].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__231_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[35].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__106_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[28].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__80_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[33].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__230_n_0 | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__41_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__5_n_0 | SFP_GEN[28].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[33].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__229_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[5].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__5_n_0 | SFP_GEN[28].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[33].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__228_n_0 | 2 | 4 | 2.00 | | CLK | | SFP_GEN[40].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[2].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__548_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[33].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__227_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[5].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[2].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__559_n_0 | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[33].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__226_n_0 | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__40_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[2].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__558_n_0 | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[33].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__225_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[40].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[33].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__235_n_0 | 1 | 4 | 4.00 | | CLKFBIN | ipb/udp_if/tx_ram_selector/write_block.write_i_reg0 | rst_clk125 | 2 | 4 | 2.00 | | CLK | | SFP_GEN[5].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLKFBIN | ipb/udp_if/tx_ram_selector/send_block.send_i_reg0 | rst_clk125 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[33].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__234_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__3_n_0 | SFP_GEN[19].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | CLKFBIN | ipb/udp_if/rx_ram_selector/write_block.write_i_reg0 | rst_clk125 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[33].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__224_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[40].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__32_n_0 | SFP_GEN[32].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__32_n_0 | SFP_GEN[32].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__40_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | CLKFBIN | ipb/udp_if/rx_ram_selector/send_block.send_i[3]_i_1__0_n_0 | rst_clk125 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[2].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__550_n_0 | 2 | 4 | 2.00 | | CLK | | SFP_GEN[5].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[17].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__292_n_0 | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[32].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__413_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__37_n_0 | SFP_GEN[18].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__39_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[40].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLKFBIN | i_tcds2_if/cmp_lpgbtfpga_uplink/lpgbtfpga_framealigner_inst/sta_headerLocked_o_bit_synchronizer/E[0] | ctrl_regs_inst/AR[0] | 1 | 4 | 4.00 | | CLK | | SFP_GEN[5].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[2].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__549_n_0 | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[2].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__552_n_0 | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__39_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[32].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__412_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[26].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__349_n_0 | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__38_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[2].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__551_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[5].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[5].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[32].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__411_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[40].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[40].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[2].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__554_n_0 | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__38_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[32].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__410_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[18].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__473_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[40].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__37_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[17].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__293_n_0 | 1 | 4 | 4.00 | | CLKFBIN | | eth/phy/U0/transceiver_inst/gig_ethernet_pcs_pma_0_gt_i/inst/gen_gtwizard_gthe3_top.gig_ethernet_pcs_pma_0_gt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any_sync | 1 | 4 | 4.00 | | CLKFBIN | | eth/phy/U0/transceiver_inst/gig_ethernet_pcs_pma_0_gt_i/inst/gen_gtwizard_gthe3_top.gig_ethernet_pcs_pma_0_gt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_all_inst/gtwiz_reset_all_sync | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[35].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__96_n_0 | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[32].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__409_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[5].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[2].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__553_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[40].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[22].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__524_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[32].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__408_n_0 | 2 | 4 | 2.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__37_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[4].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[32].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__407_n_0 | 3 | 4 | 1.33 | | CLKFBIN | | ipb/udp_if/tx_main/addr_to_set_int | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[2].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__555_n_0 | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__36_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__34_n_0 | SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[18].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__472_n_0 | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__36_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | CLK | | SFP_GEN[4].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[40].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[2].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__556_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[32].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__406_n_0 | 2 | 4 | 2.00 | | CLKFBIN | | ipb/udp_if/resend/last_rx_last_reg | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_1 | SFP_GEN[32].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__405_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[18].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__471_n_0 | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__35_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__21_n_0 | SFP_GEN[23].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | CLK | | SFP_GEN[40].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[2].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__557_n_0 | 1 | 4 | 4.00 | | CLKFBIN | | i_tcds2_if/i_mgt_wrapper/i_reset_sm/reset_synchronizer_gtwiz_reset_rx_any_inst/rst_out | 3 | 4 | 1.33 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[32].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__415_n_0 | 2 | 4 | 2.00 | | CLK | | SFP_GEN[4].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__35_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 3 | 4 | 1.33 | | CLK | | SFP_GEN[40].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[4].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[32].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__414_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[30].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__91_n_0 | 1 | 4 | 4.00 | | CLKFBIN | | i_tcds2_if/i_mgt_wrapper/i_reset_sm/reset_synchronizer_gtwiz_reset_all_inst/rst_out | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__44_n_0 | SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | CLK | | SFP_GEN[4].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__44_n_0 | SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 4 | 2.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__46_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[32].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__404_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[26].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__350_n_0 | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__25_n_0 | SFP_GEN[31].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 3 | 4 | 1.33 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[18].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__470_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[40].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[4].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/clear | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/FSM_sequential_phase_aligner_state[3]_i_1__46_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__25_n_0 | SFP_GEN[31].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | CLK | | SFP_GEN[4].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[4].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/clear | 1 | 4 | 4.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/AR[0] | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[29].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__368_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[4].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLKFBIN | | eth/phy/U0/gig_ethernet_pcs_pma_0_core/gpcs_pma_inst/IS_2_5G_DISABLED_PRE_SHRINK.TRANSMITTER/NO_QSGMII_DATA.TXDATA[5]_i_1_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[4].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[39].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[26].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__351_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[4].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TMS_StateCurr[3]_i_1__22_n_0 | SFP_GEN[17].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[31].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__329_n_0 | 3 | 4 | 1.33 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[18].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__469_n_0 | 2 | 4 | 2.00 | | CLK | | SFP_GEN[4].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[4].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[14].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__17_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[31].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__328_n_0 | 2 | 4 | 2.00 | | CLK | | SFP_GEN[4].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/clear | 1 | 4 | 4.00 | | CLK | | SFP_GEN[46].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/tmsStateCntr[3]_i_1__22_n_0 | SFP_GEN[17].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 2 | 4 | 2.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[14].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__16_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[31].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__327_n_0 | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[29].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__378_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[46].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/clear | 1 | 4 | 4.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/bus_status_ctrl.ial_reg_2 | SFP_GEN[26].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/cr[7]_i_1__352_n_0 | 1 | 4 | 4.00 | | CLK | | SFP_GEN[46].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/clear | 1 | 4 | 4.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/FSM_sequential_gen_drp_interface.drp_tx_pi_state[3]_i_1__9_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 4 | 2.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 2 | 5 | 2.50 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/g_rx_data_good[1].rx_data_good_cntr[1][4]_i_2_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[1].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].patternSearch/consecCorrectHeaders0 | | 2 | 5 | 2.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].patternSearch/bitSlipCmd_to_bitSlipCtrller_1 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 2 | 5 | 2.50 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__269_n_0 | | 3 | 5 | 1.67 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__268_n_0 | | 2 | 5 | 2.50 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | i_tcds2_if/i_mgt_wrapper/i_rxusrclk/bbstub_rxoutclk_out[0] | | i_tcds2_if/i_mgt_wrapper/i_rxusrclk/gen_gtwiz_userclk_rx_main.gtwiz_userclk_rx_active_sync | 1 | 5 | 5.00 | | i_tcds2_if/i_mgt_wrapper/i_rxusrclk/bbstub_rxoutclk_out[0] | | i_tcds2_if/i_mgt_wrapper/i_reset_sm/gtwiz_reset_rx_done_int_reg_n_0 | 1 | 5 | 5.00 | | i_tcds2_if/i_mgt_wrapper/i_rxusrclk/bbstub_rxoutclk_out[0] | | i_tcds2_if/i_mgt_wrapper/i_mgt/inst/gen_gtwizard_gthe3_top.ttc_mgt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_buffer_bypass_internal.gen_single_instance.gtwiz_buffbypass_rx_inst/gen_gtwiz_buffbypass_rx_main.gen_auto_mode.reset_synchronizer_resetdone_inst/rst_in_meta_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | i_tcds2_if/i_mgt_wrapper/i_rxusrclk/bbstub_rxoutclk_out[0] | i_tcds2_if/cmp_lpgbtfpga_uplink/lpgbtfpga_framealigner_inst/nbCheckedHeaders0 | i_tcds2_if/cmp_lpgbtfpga_uplink/lpgbtfpga_framealigner_inst/lockFSM_proc.nbCheckedHeaders[5]_i_1_n_0 | 1 | 5 | 5.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__267_n_0 | | 3 | 5 | 1.67 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__266_n_0 | | 2 | 5 | 2.50 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | i_tcds2_if/i_mgt_wrapper/i_txusrclk/bbstub_txoutclk_out[0] | | i_tcds2_if/i_mgt_wrapper/i_reset_sm/gtwiz_reset_tx_done_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 2 | 5 | 2.50 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__518_n_0 | | 3 | 5 | 1.67 | | DRPclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_2 | 1 | 5 | 5.00 | | DRPclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_0 | 1 | 5 | 5.00 | | DRPclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_10 | 1 | 5 | 5.00 | | DRPclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_8 | 1 | 5 | 5.00 | | DRPclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_4 | 1 | 5 | 5.00 | | DRPclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_6 | 1 | 5 | 5.00 | | DRPclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_7 | 1 | 5 | 5.00 | | DRPclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_1 | 1 | 5 | 5.00 | | DRPclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/reset_all_in | 1 | 5 | 5.00 | | DRPclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_5 | 1 | 5 | 5.00 | | DRPclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_3 | 1 | 5 | 5.00 | | DRPclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_9 | 1 | 5 | 5.00 | | DRPclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_8 | 2 | 5 | 2.50 | | DRPclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_3 | 2 | 5 | 2.50 | | DRPclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_7 | 1 | 5 | 5.00 | | DRPclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_6 | 2 | 5 | 2.50 | | DRPclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_5 | 2 | 5 | 2.50 | | DRPclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_1 | 2 | 5 | 2.50 | | DRPclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/reset_all_in | 1 | 5 | 5.00 | | DRPclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_4 | 1 | 5 | 5.00 | | DRPclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_9 | 1 | 5 | 5.00 | | DRPclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_10 | 1 | 5 | 5.00 | | DRPclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_0 | 1 | 5 | 5.00 | | DRPclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_2 | 1 | 5 | 5.00 | | DRPclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_0 | 1 | 5 | 5.00 | | DRPclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_1 | 1 | 5 | 5.00 | | DRPclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_10 | 1 | 5 | 5.00 | | DRPclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_9 | 1 | 5 | 5.00 | | DRPclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_4 | 2 | 5 | 2.50 | | DRPclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_2 | 1 | 5 | 5.00 | | DRPclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/reset_all_in | 1 | 5 | 5.00 | | DRPclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_5 | 1 | 5 | 5.00 | | DRPclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_8 | 1 | 5 | 5.00 | | DRPclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_3 | 1 | 5 | 5.00 | | DRPclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_6 | 1 | 5 | 5.00 | | DRPclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_7 | 1 | 5 | 5.00 | | DRPclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_5 | 1 | 5 | 5.00 | | DRPclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_6 | 2 | 5 | 2.50 | | DRPclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_2 | 1 | 5 | 5.00 | | DRPclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_3 | 1 | 5 | 5.00 | | DRPclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_8 | 2 | 5 | 2.50 | | DRPclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_10 | 1 | 5 | 5.00 | | DRPclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_7 | 1 | 5 | 5.00 | | DRPclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/reset_all_in | 1 | 5 | 5.00 | | DRPclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_0 | 2 | 5 | 2.50 | | DRPclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_1 | 1 | 5 | 5.00 | | DRPclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_4 | 1 | 5 | 5.00 | | DRPclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_9 | 1 | 5 | 5.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__265_n_0 | | 4 | 5 | 1.25 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__264_n_0 | | 4 | 5 | 1.25 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | TX_CLKEN_repN_14 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbt_txreset_s[0] | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__263_n_0 | | 3 | 5 | 1.67 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/g_rx_data_good[3].rx_data_good_cntr[3][4]_i_2_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[3].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].patternSearch/consecCorrectHeaders0 | | 1 | 5 | 5.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].patternSearch/bitSlipCmd_to_bitSlipCtrller_3 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 5 | 5.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__262_n_0 | | 3 | 5 | 1.67 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/g_rx_data_good[2].rx_data_good_cntr[2][4]_i_2_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[2].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].patternSearch/bitSlipCmd_to_bitSlipCtrller_2 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__261_n_0 | | 2 | 5 | 2.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].patternSearch/consecCorrectHeaders0 | | 2 | 5 | 2.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/g_rx_data_good[0].rx_data_good_cntr[0][4]_i_2_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[0].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].patternSearch/consecCorrectHeaders0 | | 1 | 5 | 5.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__271_n_0 | | 3 | 5 | 1.67 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].patternSearch/bitSlipCmd_to_bitSlipCtrller_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 5 | 5.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/g_rx_data_good[9].rx_data_good_cntr[9][4]_i_2_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[9].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].patternSearch/bitSlipCmd_to_bitSlipCtrller_9 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 5 | 5.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].patternSearch/consecCorrectHeaders0 | | 1 | 5 | 5.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__270_n_0 | | 2 | 5 | 2.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/g_rx_data_good[10].rx_data_good_cntr[10][4]_i_2_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[10].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].patternSearch/consecCorrectHeaders0 | | 2 | 5 | 2.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].patternSearch/bitSlipCmd_to_bitSlipCtrller_10 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 5 | 5.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__260_n_0 | | 3 | 5 | 1.67 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[26].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 5 | 5.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/g_rx_data_good[11].rx_data_good_cntr[11][4]_i_2_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[11].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].patternSearch/bitSlipCmd_to_bitSlipCtrller_11 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 2 | 5 | 2.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].patternSearch/consecCorrectHeaders0 | | 2 | 5 | 2.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/g_rx_data_good[4].rx_data_good_cntr[4][4]_i_2_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[4].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].patternSearch/bitSlipCmd_to_bitSlipCtrller_4 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 5 | 5.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].patternSearch/consecCorrectHeaders0 | | 1 | 5 | 5.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__353_n_0 | | 2 | 5 | 2.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/g_rx_data_good[5].rx_data_good_cntr[5][4]_i_2_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[5].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__352_n_0 | | 3 | 5 | 1.67 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].patternSearch/bitSlipCmd_to_bitSlipCtrller_5 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 5 | 5.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].patternSearch/consecCorrectHeaders0 | | 2 | 5 | 2.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/g_rx_data_good[6].rx_data_good_cntr[6][4]_i_2_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[6].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].patternSearch/bitSlipCmd_to_bitSlipCtrller_6 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 5 | 5.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__351_n_0 | | 2 | 5 | 2.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].patternSearch/consecCorrectHeaders0 | | 2 | 5 | 2.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/g_rx_data_good[7].rx_data_good_cntr[7][4]_i_2_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[7].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].patternSearch/consecCorrectHeaders0 | | 2 | 5 | 2.50 | | CLKFBIN | | eth/phy/U0/gig_ethernet_pcs_pma_0_core/gpcs_pma_inst/RX_GMII_AT_TXOUTCLK.SYNCHRONISATION/ENCOMMAALIGN | 1 | 5 | 5.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].patternSearch/bitSlipCmd_to_bitSlipCtrller_7 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 5 | 5.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__350_n_0 | | 3 | 5 | 1.67 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/g_rx_data_good[8].rx_data_good_cntr[8][4]_i_2_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[8].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].patternSearch/consecCorrectHeaders0 | | 1 | 5 | 5.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].patternSearch/bitSlipCmd_to_bitSlipCtrller_8 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 2 | 5 | 2.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].patternSearch/consecCorrectHeaders0 | | 1 | 5 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].patternSearch/bitSlipCmd_to_bitSlipCtrller_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 5 | 5.00 | | CLKFBIN | | i_tcds2_if/i_mgt_wrapper/i_reset_sm/gtwiz_reset_rx_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | CLKFBIN | | i_tcds2_if/i_mgt_wrapper/i_reset_sm/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/g_rx_data_good[0].rx_data_good_cntr[0][4]_i_2__0_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[0].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | CLKFBIN | | i_tcds2_if/i_mgt_wrapper/i_reset_sm/p_0_in_1 | 1 | 5 | 5.00 | | CLKFBIN | | i_tcds2_if/i_mgt_wrapper/i_mgt_init/reset_synchronizer_reset_all_inst/rst_out | 2 | 5 | 2.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].patternSearch/consecCorrectHeaders0 | | 1 | 5 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].patternSearch/bitSlipCmd_to_bitSlipCtrller_1 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 5 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/g_rx_data_good[1].rx_data_good_cntr[1][4]_i_2__0_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[1].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | CLKFBIN | | ipb/udp_if/resend/pkt_resend | 3 | 5 | 1.67 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].patternSearch/bitSlipCmd_to_bitSlipCtrller_2 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 2 | 5 | 2.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].patternSearch/consecCorrectHeaders0 | | 2 | 5 | 2.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/g_rx_data_good[2].rx_data_good_cntr[2][4]_i_2__0_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[2].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].patternSearch/bitSlipCmd_to_bitSlipCtrller_3 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 5 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].patternSearch/consecCorrectHeaders0 | | 2 | 5 | 2.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/g_rx_data_good[3].rx_data_good_cntr[3][4]_i_2__0_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[3].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | CLKFBIN | | eth/phy/U0/transceiver_inst/gig_ethernet_pcs_pma_0_gt_i/inst/gen_gtwizard_gthe3_top.gig_ethernet_pcs_pma_0_gt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | CLKFBIN | | eth/phy/U0/transceiver_inst/gig_ethernet_pcs_pma_0_gt_i/inst/gen_gtwizard_gthe3_top.gig_ethernet_pcs_pma_0_gt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | CLKFBIN | | eth/phy/U0/transceiver_inst/gig_ethernet_pcs_pma_0_gt_i/inst/gen_gtwizard_gthe3_top.gig_ethernet_pcs_pma_0_gt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[24].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | CLKFBIN | | eth/phy/U0/transceiver_inst/gig_ethernet_pcs_pma_0_gt_i/inst/gen_gtwizard_gthe3_top.gig_ethernet_pcs_pma_0_gt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | CLKFBIN | | eth/phy/U0/transceiver_inst/gig_ethernet_pcs_pma_0_gt_i/inst/gen_gtwizard_gthe3_top.gig_ethernet_pcs_pma_0_gt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_0 | 1 | 5 | 5.00 | | CLKFBIN | | eth/phy/U0/transceiver_inst/gig_ethernet_pcs_pma_0_gt_i/inst/gen_gtwizard_gthe3_top.gig_ethernet_pcs_pma_0_gt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_tx_any_inst/gtwiz_reset_tx_any | 1 | 5 | 5.00 | | CLKFBIN | | eth/phy/U0/transceiver_inst/gig_ethernet_pcs_pma_0_gt_i/inst/gen_gtwizard_gthe3_top.gig_ethernet_pcs_pma_0_gt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 5 | 5.00 | | CLKFBIN | | eth/phy/U0/transceiver_inst/gtwiz_reset_tx_done_out_int_reg | 1 | 5 | 5.00 | | CLKFBIN | | i_tcds2_if/i_mgt_wrapper/i_mgt/inst/gen_gtwizard_gthe3_top.ttc_mgt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_common.gen_common_container[24].gen_enabled_common.gthe3_common_wrapper_inst/common_inst/qpll0lock_out[0] | 1 | 5 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__349_n_0 | | 3 | 5 | 1.67 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].patternSearch/consecCorrectHeaders0 | | 1 | 5 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].patternSearch/bitSlipCmd_to_bitSlipCtrller_4 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 5 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/g_rx_data_good[4].rx_data_good_cntr[4][4]_i_2__0_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[4].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | CLKFBIN | eth/mac/i_mac/sel | eth/mac/i_mac/gap_cnt0 | 1 | 5 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].patternSearch/bitSlipCmd_to_bitSlipCtrller_5 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 2 | 5 | 2.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].patternSearch/consecCorrectHeaders0 | | 1 | 5 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/g_rx_data_good[5].rx_data_good_cntr[5][4]_i_2__0_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[5].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].patternSearch/bitSlipCmd_to_bitSlipCtrller_6 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 5 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].patternSearch/consecCorrectHeaders0 | | 1 | 5 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/g_rx_data_good[6].rx_data_good_cntr[6][4]_i_2__0_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[6].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].patternSearch/bitSlipCmd_to_bitSlipCtrller_7 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 5 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].patternSearch/consecCorrectHeaders0 | | 2 | 5 | 2.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/g_rx_data_good[7].rx_data_good_cntr[7][4]_i_2__0_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[7].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].patternSearch/consecCorrectHeaders0 | | 2 | 5 | 2.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].patternSearch/bitSlipCmd_to_bitSlipCtrller_8 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 5 | 5.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__517_n_0 | | 3 | 5 | 1.67 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/g_rx_data_good[8].rx_data_good_cntr[8][4]_i_2__0_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[8].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].patternSearch/bitSlipCmd_to_bitSlipCtrller_9 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 5 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].patternSearch/consecCorrectHeaders0 | | 2 | 5 | 2.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/g_rx_data_good[9].rx_data_good_cntr[9][4]_i_2__0_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[9].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].patternSearch/bitSlipCmd_to_bitSlipCtrller_10 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 5 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].patternSearch/consecCorrectHeaders0 | | 2 | 5 | 2.50 | | CLKFBIN | ipb/udp_if/ARP/set_addr | | 3 | 5 | 1.67 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/g_rx_data_good[10].rx_data_good_cntr[10][4]_i_2__0_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[10].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].patternSearch/bitSlipCmd_to_bitSlipCtrller_11 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 2 | 5 | 2.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].patternSearch/consecCorrectHeaders0 | | 2 | 5 | 2.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/g_rx_data_good[11].rx_data_good_cntr[11][4]_i_2__0_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[11].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].patternSearch/bitSlipCmd_to_bitSlipCtrller_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 5 | 5.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].patternSearch/consecCorrectHeaders0 | | 1 | 5 | 5.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__348_n_0 | | 3 | 5 | 1.67 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/g_rx_data_good[0].rx_data_good_cntr[0][4]_i_2__1_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[0].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].patternSearch/bitSlipCmd_to_bitSlipCtrller_1 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 2 | 5 | 2.50 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].patternSearch/consecCorrectHeaders0 | | 1 | 5 | 5.00 | | CLKFBIN | ipb/udp_if/ping/set_addr | | 4 | 5 | 1.25 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/g_rx_data_good[1].rx_data_good_cntr[1][4]_i_2__1_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[1].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | CLKFBIN | ipb/udp_if/clock_crossing_if/rst_clk125_reg[0] | | 2 | 5 | 2.50 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].patternSearch/bitSlipCmd_to_bitSlipCtrller_2 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 2 | 5 | 2.50 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].patternSearch/consecCorrectHeaders0 | | 2 | 5 | 2.50 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/g_rx_data_good[2].rx_data_good_cntr[2][4]_i_2__1_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[2].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].patternSearch/consecCorrectHeaders0 | | 2 | 5 | 2.50 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].patternSearch/bitSlipCmd_to_bitSlipCtrller_3 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__347_n_0 | | 3 | 5 | 1.67 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/g_rx_data_good[3].rx_data_good_cntr[3][4]_i_2__1_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[3].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].patternSearch/bitSlipCmd_to_bitSlipCtrller_4 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 2 | 5 | 2.50 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].patternSearch/consecCorrectHeaders0 | | 1 | 5 | 5.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/g_rx_data_good[4].rx_data_good_cntr[4][4]_i_2__1_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[4].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].patternSearch/bitSlipCmd_to_bitSlipCtrller_5 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 5 | 5.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].patternSearch/consecCorrectHeaders0 | | 1 | 5 | 5.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/g_rx_data_good[5].rx_data_good_cntr[5][4]_i_2__1_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[5].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | CLKFBIN | ipb/udp_if/tx_main/do_udp_counter.counting_reg__0 | ipb/udp_if/tx_main/do_udp_counter.counter[4]_i_1_n_0 | 2 | 5 | 2.50 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].patternSearch/bitSlipCmd_to_bitSlipCtrller_6 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 2 | 5 | 2.50 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].patternSearch/consecCorrectHeaders0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__516_n_0 | | 3 | 5 | 1.67 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/g_rx_data_good[6].rx_data_good_cntr[6][4]_i_2__1_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[6].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].patternSearch/bitSlipCmd_to_bitSlipCtrller_7 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 5 | 5.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].patternSearch/consecCorrectHeaders0 | | 1 | 5 | 5.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/g_rx_data_good[7].rx_data_good_cntr[7][4]_i_2__1_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[7].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | CLKFBIN | ipb/udp_if/tx_main/udp_build_data.udpram_end_addr_int[12]_i_2_n_0 | ipb/udp_if/tx_main/udp_build_data.udpram_end_addr_int[12]_i_1_n_0 | 1 | 5 | 5.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].patternSearch/bitSlipCmd_to_bitSlipCtrller_8 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 5 | 5.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].patternSearch/consecCorrectHeaders0 | | 1 | 5 | 5.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/g_rx_data_good[8].rx_data_good_cntr[8][4]_i_2__1_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[8].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].patternSearch/bitSlipCmd_to_bitSlipCtrller_9 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 5 | 5.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].patternSearch/consecCorrectHeaders0 | | 2 | 5 | 2.50 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/g_rx_data_good[9].rx_data_good_cntr[9][4]_i_2__1_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[9].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].patternSearch/consecCorrectHeaders0 | | 2 | 5 | 2.50 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].patternSearch/bitSlipCmd_to_bitSlipCtrller_10 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 5 | 5.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/g_rx_data_good[10].rx_data_good_cntr[10][4]_i_2__1_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[10].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].patternSearch/consecCorrectHeaders0 | | 2 | 5 | 2.50 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].patternSearch/bitSlipCmd_to_bitSlipCtrller_11 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 5 | 5.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/g_rx_data_good[11].rx_data_good_cntr[11][4]_i_2__1_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[11].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | CLK | i_I2C_if/I2C_array[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__131_n_0 | | 2 | 5 | 2.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].patternSearch/bitSlipCmd_to_bitSlipCtrller_11 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 5 | 5.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].patternSearch/consecCorrectHeaders0 | | 3 | 5 | 1.67 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/g_rx_data_good[11].rx_data_good_cntr[11][4]_i_2__2_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[11].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 2 | 5 | 2.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | CLK | i_I2C_if/I2C_array[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__132_n_0 | | 3 | 5 | 1.67 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].patternSearch/consecCorrectHeaders0 | | 1 | 5 | 5.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].patternSearch/bitSlipCmd_to_bitSlipCtrller_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 5 | 5.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/g_rx_data_good[0].rx_data_good_cntr[0][4]_i_2__2_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[0].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | CLK | i_I2C_if/I2C_array[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__133_n_0 | | 3 | 5 | 1.67 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].patternSearch/consecCorrectHeaders0 | | 1 | 5 | 5.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].patternSearch/bitSlipCmd_to_bitSlipCtrller_1 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 5 | 5.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/g_rx_data_good[1].rx_data_good_cntr[1][4]_i_2__2_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[1].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | CLK | i_I2C_if/I2C_array[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__134_n_0 | | 3 | 5 | 1.67 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].patternSearch/bitSlipCmd_to_bitSlipCtrller_2 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 5 | 5.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].patternSearch/consecCorrectHeaders0 | | 2 | 5 | 2.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/g_rx_data_good[2].rx_data_good_cntr[2][4]_i_2__2_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[2].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].patternSearch/bitSlipCmd_to_bitSlipCtrller_3 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 2 | 5 | 2.50 | | CLK | i_I2C_if/I2C_array[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__135_n_0 | | 3 | 5 | 1.67 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].patternSearch/consecCorrectHeaders0 | | 2 | 5 | 2.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/g_rx_data_good[3].rx_data_good_cntr[3][4]_i_2__2_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[3].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 2 | 5 | 2.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].patternSearch/bitSlipCmd_to_bitSlipCtrller_4 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 5 | 5.00 | | CLK | i_I2C_if/I2C_array[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__136_n_0 | | 4 | 5 | 1.25 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].patternSearch/consecCorrectHeaders0 | | 1 | 5 | 5.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/g_rx_data_good[4].rx_data_good_cntr[4][4]_i_2__2_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[4].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].patternSearch/bitSlipCmd_to_bitSlipCtrller_5 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 5 | 5.00 | | CLK | i_I2C_if/I2C_array[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__137_n_0 | | 2 | 5 | 2.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].patternSearch/consecCorrectHeaders0 | | 1 | 5 | 5.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/g_rx_data_good[5].rx_data_good_cntr[5][4]_i_2__2_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[5].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | CLK | i_I2C_if/I2C_array[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__138_n_0 | | 2 | 5 | 2.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].patternSearch/bitSlipCmd_to_bitSlipCtrller_6 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 5 | 5.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].patternSearch/consecCorrectHeaders0 | | 1 | 5 | 5.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/g_rx_data_good[6].rx_data_good_cntr[6][4]_i_2__2_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[6].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | CLK | i_I2C_if/I2C_array[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__139_n_0 | | 3 | 5 | 1.67 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].patternSearch/bitSlipCmd_to_bitSlipCtrller_7 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 2 | 5 | 2.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].patternSearch/consecCorrectHeaders0 | | 1 | 5 | 5.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/g_rx_data_good[7].rx_data_good_cntr[7][4]_i_2__2_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[7].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].patternSearch/bitSlipCmd_to_bitSlipCtrller_8 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 5 | 5.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].patternSearch/consecCorrectHeaders0 | | 2 | 5 | 2.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/g_rx_data_good[8].rx_data_good_cntr[8][4]_i_2__2_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[8].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].patternSearch/bitSlipCmd_to_bitSlipCtrller_9 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 5 | 5.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].patternSearch/consecCorrectHeaders0 | | 1 | 5 | 5.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/g_rx_data_good[9].rx_data_good_cntr[9][4]_i_2__2_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[9].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].patternSearch/bitSlipCmd_to_bitSlipCtrller_10 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 1 | 5 | 5.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__346_n_0 | | 4 | 5 | 1.25 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].patternSearch/consecCorrectHeaders0 | | 2 | 5 | 2.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/g_rx_data_good[10].rx_data_good_cntr[10][4]_i_2__2_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxdatapath_multilink_gen[10].gbt_rxdatapath_inst/decoder/gbtFrame_gen.reedSolomonDecoder0to50/SR[0] | 1 | 5 | 5.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__515_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__514_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__513_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__523_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__522_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__512_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[23].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 5 | 5.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__280_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__281_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__278_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__279_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__277_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__276_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__274_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__275_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__273_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__283_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__272_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__282_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__345_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[22].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__533_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__532_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__531_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__530_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__529_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__528_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__527_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__526_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__525_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__535_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__534_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__524_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__354_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[21].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__248_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__497_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__496_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__495_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__494_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__355_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__493_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__344_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__492_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__491_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__490_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__489_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__499_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__498_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__488_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[20].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 5 | 5.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__89_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__88_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__87_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[25].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__86_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__315_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__316_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__317_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__259_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__258_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__373_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__68_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__372_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__95_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__105_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__106_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__67_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__96_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__91_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__90_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__456_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__66_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__455_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[34].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 5 | 5.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__97_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__98_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__65_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__99_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__250_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__249_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__101_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__64_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__102_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__103_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__104_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__100_n_0 | | 5 | 5 | 1.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__63_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[35].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 5 | 5.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__416_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__426_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__427_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__62_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__417_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__418_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__419_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__420_n_0 | | 5 | 5 | 1.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__61_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__421_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__422_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__423_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__424_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__60_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__425_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__453_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__454_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__70_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__401_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__400_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__399_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__398_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__69_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__397_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__396_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__395_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__394_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__59_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__393_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__403_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[24].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 5 | 5.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__402_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__392_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[18].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 5 | 5.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__473_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__521_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__472_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__471_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__470_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__520_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__469_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__468_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__467_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__466_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__465_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__475_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__474_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__464_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[17].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__293_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__292_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__291_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__290_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__289_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__288_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__519_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__287_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__286_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__295_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__285_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__294_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__284_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[16].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__460_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__459_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__44_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__43_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__42_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__41_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__40_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__39_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__458_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__457_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__38_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__37_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__36_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__46_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__45_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__35_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[15].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 5 | 5.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__32_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__31_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__30_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__29_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__28_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__27_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__26_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__25_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__24_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__34_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__33_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__23_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[14].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 5 | 5.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__20_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__18_n_0 | | 5 | 5 | 1.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__19_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__17_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__16_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__15_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__13_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__14_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__12_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__22_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__21_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__11_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[13].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 5 | 5.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__8_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__7_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__6_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__5_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__4_n_0 | | 5 | 5 | 1.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__3_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__2_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__1_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__0_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__10_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__9_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 5 | 5.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__545_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__544_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__543_n_0 | | 2 | 5 | 2.50 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__542_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__541_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__540_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__539_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__538_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__537_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__547_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__546_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__536_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__436_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__437_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__435_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__434_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__433_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__432_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__431_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__430_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__439_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__429_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__428_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__438_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 5 | 5.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__461_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__305_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__304_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__303_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__302_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__301_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__300_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__299_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__298_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__297_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__307_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__47_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__57_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__58_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__48_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__49_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__51_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__50_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__52_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__53_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__55_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__54_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[19].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__306_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__296_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 5 | 5.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__56_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__452_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__463_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__462_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__341_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__340_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__339_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__338_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__337_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__336_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__335_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__334_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__333_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__343_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__342_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__332_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[47].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__149_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__148_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__147_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__146_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__145_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__144_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__143_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__142_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__141_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__140_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__151_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__150_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[0].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 5 | 5.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__188_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__198_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__199_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__189_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__190_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__191_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__192_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__193_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__194_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__195_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__196_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__197_n_0 | | 3 | 5 | 1.67 | | clk250 | g_clock_rate_din[10].i_rate_ngccm_status0/E[0]_repN_3 | | 1 | 5 | 5.00 | | clk250 | g_clock_rate_din[15].i_rate_ngccm_status0/E[0]_repN_3 | | 2 | 5 | 2.50 | | clk62_5 | | eth/phy/U0/transceiver_inst/gig_ethernet_pcs_pma_0_gt_i/inst/gen_gtwizard_gthe3_top.gig_ethernet_pcs_pma_0_gt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | clk62_5 | | eth/phy/U0/transceiver_inst/gig_ethernet_pcs_pma_0_gt_i/inst/gen_gtwizard_gthe3_top.gig_ethernet_pcs_pma_0_gt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/rst_in_out_i_1__0_n_0 | 1 | 5 | 5.00 | | clk62_5 | | eth/phy/U0/gig_ethernet_pcs_pma_0_core/gpcs_pma_inst/MGT_TX_RESET | 1 | 5 | 5.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[27].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__71_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__81_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__82_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__72_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__73_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__74_n_0 | | 5 | 5 | 1.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__75_n_0 | | 5 | 5 | 1.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__76_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__77_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__78_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__79_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__80_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[28].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__548_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__559_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__558_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__550_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__549_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__551_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__552_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__554_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__553_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__555_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__556_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__557_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 5 | 5.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__368_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__378_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__379_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__369_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__370_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__371_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__374_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__375_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__376_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__377_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[29].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 5 | 5.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__83_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__93_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__94_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__84_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__85_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__251_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__252_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__253_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__254_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__92_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[30].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 5 | 5.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__320_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__331_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__330_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__322_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__321_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__324_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__323_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__326_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__325_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__327_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__328_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__329_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[31].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__404_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__414_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__415_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__405_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__406_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__407_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__408_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__409_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__410_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__411_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__412_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__413_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[32].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__224_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__234_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__235_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__225_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__226_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__227_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__228_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__229_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__230_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__231_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__232_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__233_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[33].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__308_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__318_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__319_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__309_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__310_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__311_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__312_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__313_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__314_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[36].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__255_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__256_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__257_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[37].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__236_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__246_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__247_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__237_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__238_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__239_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__240_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__241_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__242_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__243_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__244_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__245_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[38].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__500_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__510_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__511_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__501_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__503_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__502_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__504_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__505_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__506_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__507_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__509_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__508_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__476_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__486_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__487_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__477_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__478_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__479_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__480_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__481_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__482_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__483_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__484_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__485_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[39].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__164_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__174_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__175_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__165_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__166_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__167_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__168_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__169_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__170_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__171_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__172_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__173_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[40].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__210_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__200_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__201_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__211_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__203_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__202_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__204_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__205_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__206_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__207_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__209_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__208_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[41].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 5 | 5.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__107_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__117_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__118_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__108_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__109_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__110_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__111_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__112_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__113_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__114_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__115_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__116_n_0 | | 5 | 5 | 1.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[42].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__119_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__129_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__130_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__120_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__121_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__122_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__123_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__124_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__125_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__126_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__127_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__128_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[43].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 5 | 5.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__560_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__570_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__571_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__562_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__561_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__564_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__563_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__566_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__565_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__568_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__567_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__569_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[44].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__152_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__162_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__163_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__153_n_0 | | 5 | 5 | 1.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__154_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__155_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__156_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__157_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__158_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__159_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__160_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__161_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[45].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__572_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__582_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__583_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__573_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__574_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__575_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__576_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__577_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__578_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__579_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__580_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__581_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[46].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 1 | 5 | 5.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__380_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__391_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__390_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__382_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__381_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__384_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__383_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__385_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__386_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__388_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__387_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__389_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__176_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__186_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__187_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__177_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__178_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__179_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__180_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__181_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__182_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__183_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__184_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__185_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__356_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__366_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__367_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__357_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__358_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__359_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__360_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__361_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__362_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__363_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__364_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__365_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__222_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__212_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__213_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__223_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__214_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__215_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__216_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__217_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__218_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__219_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__221_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__220_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__440_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__450_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__451_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__441_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__442_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__443_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__444_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__445_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__446_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__447_n_0 | | 3 | 5 | 1.67 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__448_n_0 | | 2 | 5 | 2.50 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/FSM_sequential_c_state[4]_i_1__449_n_0 | | 4 | 5 | 1.25 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/ngccmPinsOutReg[sec_jtag_tdi] | SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 3 | 5 | 1.67 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_10 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_8 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_4 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_6 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_7 | 2 | 5 | 2.50 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_1 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/reset_all_in | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_5 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_3 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_9 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_8 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_3 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_7 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_6 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_5 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_1 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/reset_all_in | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_4 | 2 | 5 | 2.50 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_9 | 2 | 5 | 2.50 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_10 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_1 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_10 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_9 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_4 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/reset_all_in | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_5 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_8 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_3 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_6 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_7 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_5 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_6 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_3 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_8 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_10 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_7 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/reset_all_in | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_1 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_4 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/mgtTxReset_s_reg_9 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 2 | 5 | 2.50 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 2 | 5 | 2.50 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 2 | 5 | 2.50 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 2 | 5 | 2.50 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 2 | 5 | 2.50 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 2 | 5 | 2.50 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_datapath_inst/rst_in0_2 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_tx_done_inst/rst_in_out_i_1_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_channel_container[2].gen_enabled_channel.gthe3_channel_wrapper_inst/channel_inst/rst_in0 | 1 | 5 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_gtwiz_reset_rx_any_inst/gtwiz_reset_rx_any | 1 | 5 | 5.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__24_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__24_n_0 | 2 | 6 | 3.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 3 | 6 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 5 | 6 | 1.20 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__6_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__6_n_0 | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__336_n_0 | SFP_GEN[0].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__269_n_0 | SFP_GEN[27].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 6 | 3.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 4 | 6 | 1.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__1_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__1_n_0 | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__200_n_0 | SFP_GEN[41].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 3 | 6 | 2.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__268_n_0 | SFP_GEN[27].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__20_n_0 | SFP_GEN[14].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 4 | 6 | 1.50 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__504_n_0 | SFP_GEN[3].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__280_n_0 | SFP_GEN[23].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__322_n_0 | SFP_GEN[31].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__267_n_0 | SFP_GEN[27].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | i_tcds2_if/i_mgt_wrapper/i_rxusrclk/bbstub_rxoutclk_out[0] | i_tcds2_if/cmp_lpgbtfpga_uplink/lpgbtfpga_framealigner_inst/bitSlipCounter_s | i_tcds2_if/rx_uplinkRst_n_bit_sync_320/i_in_out | 1 | 6 | 6.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__35_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__35_n_0 | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__281_n_0 | SFP_GEN[23].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__306_n_0 | SFP_GEN[10].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__266_n_0 | SFP_GEN[27].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__505_n_0 | SFP_GEN[3].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__56_n_0 | SFP_GEN[19].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__282_n_0 | SFP_GEN[23].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__265_n_0 | SFP_GEN[27].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__321_n_0 | SFP_GEN[31].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__173_n_0 | SFP_GEN[40].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__55_n_0 | SFP_GEN[19].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__115_n_0 | SFP_GEN[42].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 4 | 6 | 1.50 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__502_n_0 | SFP_GEN[3].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1_n_0 | SFP_GEN[13].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__264_n_0 | SFP_GEN[27].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__319_n_0 | SFP_GEN[34].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__232_n_0 | SFP_GEN[33].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__18_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__18_n_0 | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__117_n_0 | SFP_GEN[42].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__263_n_0 | SFP_GEN[27].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__503_n_0 | SFP_GEN[3].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__236_n_0 | SFP_GEN[38].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__480_n_0 | SFP_GEN[39].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__262_n_0 | SFP_GEN[27].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__50_n_0 | SFP_GEN[19].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__172_n_0 | SFP_GEN[40].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__261_n_0 | SFP_GEN[27].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 5 | 6 | 1.20 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__324_n_0 | SFP_GEN[31].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__271_n_0 | SFP_GEN[27].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__335_n_0 | SFP_GEN[0].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__164_n_0 | SFP_GEN[40].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__12_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__12_n_0 | 1 | 6 | 6.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__4_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__4_n_0 | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__323_n_0 | SFP_GEN[31].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__270_n_0 | SFP_GEN[27].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__107_n_0 | SFP_GEN[42].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__246_n_0 | SFP_GEN[38].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__486_n_0 | SFP_GEN[39].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__260_n_0 | SFP_GEN[27].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__171_n_0 | SFP_GEN[40].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__17_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__17_n_0 | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__224_n_0 | SFP_GEN[33].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__114_n_0 | SFP_GEN[42].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__496_n_0 | SFP_GEN[21].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__499_n_0 | SFP_GEN[21].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__248_n_0 | SFP_GEN[37].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__353_n_0 | SFP_GEN[26].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 4 | 6 | 1.50 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__34_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__34_n_0 | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__247_n_0 | SFP_GEN[38].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__511_n_0 | SFP_GEN[3].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 3 | 6 | 2.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__310_n_0 | SFP_GEN[34].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__351_n_0 | SFP_GEN[26].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__352_n_0 | SFP_GEN[26].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 3 | 6 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__27_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__27_n_0 | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__326_n_0 | SFP_GEN[31].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 5 | 6 | 1.20 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__501_n_0 | SFP_GEN[3].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__83_n_0 | SFP_GEN[30].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__272_n_0 | SFP_GEN[23].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__233_n_0 | SFP_GEN[33].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__170_n_0 | SFP_GEN[40].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__237_n_0 | SFP_GEN[38].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__528_n_0 | SFP_GEN[22].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__208_n_0 | SFP_GEN[41].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__340_n_0 | SFP_GEN[0].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__545_n_0 | SFP_GEN[12].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__325_n_0 | SFP_GEN[31].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | tx_wordclk | TX_CLKEN_repN_44 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/gbt_txreset_s[0] | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__465_n_0 | SFP_GEN[18].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__234_n_0 | SFP_GEN[33].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__349_n_0 | SFP_GEN[26].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__314_n_0 | SFP_GEN[34].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__5_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__5_n_0 | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__238_n_0 | SFP_GEN[38].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__33_n_0 | SFP_GEN[15].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__489_n_0 | SFP_GEN[21].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 5 | 6 | 1.20 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__311_n_0 | SFP_GEN[34].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__350_n_0 | SFP_GEN[26].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__468_n_0 | SFP_GEN[18].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | CLKFBIN | eth/mac/i_mac/emacclientrxdvld_reg_1[0] | ipb/udp_if/rx_reset_block/SR[0] | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__93_n_0 | SFP_GEN[30].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__500_n_0 | SFP_GEN[3].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__328_n_0 | SFP_GEN[31].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__337_n_0 | SFP_GEN[0].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__16_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__16_n_0 | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__510_n_0 | SFP_GEN[3].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__436_n_0 | SFP_GEN[11].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__239_n_0 | SFP_GEN[38].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__113_n_0 | SFP_GEN[42].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 4 | 6 | 1.50 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__209_n_0 | SFP_GEN[41].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__94_n_0 | SFP_GEN[30].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__485_n_0 | SFP_GEN[39].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__327_n_0 | SFP_GEN[31].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__275_n_0 | SFP_GEN[23].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__10_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__10_n_0 | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__495_n_0 | SFP_GEN[21].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__235_n_0 | SFP_GEN[33].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | CLKFBIN | ipb/udp_if/RARP_block/tick | ipb/udp_if/clock_crossing_if/rst_clk125_reg[0] | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__245_n_0 | SFP_GEN[38].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__84_n_0 | SFP_GEN[30].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__466_n_0 | SFP_GEN[18].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__169_n_0 | SFP_GEN[40].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__54_n_0 | SFP_GEN[19].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__240_n_0 | SFP_GEN[38].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__33_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__33_n_0 | 2 | 6 | 3.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 4 | 6 | 1.50 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__490_n_0 | SFP_GEN[21].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__498_n_0 | SFP_GEN[21].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__18_n_0 | SFP_GEN[14].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | CLKFBIN | ipb/udp_if/payload/do_cksum.payload_len[5]_i_1_n_0 | ipb/udp_if/rx_reset_block/SR[0] | 2 | 6 | 3.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__8_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__8_n_0 | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__244_n_0 | SFP_GEN[38].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__241_n_0 | SFP_GEN[38].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__278_n_0 | SFP_GEN[23].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__452_n_0 | SFP_GEN[20].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 3 | 6 | 2.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__524_n_0 | SFP_GEN[22].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 4 | 6 | 1.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__243_n_0 | SFP_GEN[38].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__2_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__2_n_0 | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__347_n_0 | SFP_GEN[26].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__477_n_0 | SFP_GEN[39].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__22_n_0 | SFP_GEN[14].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__14_n_0 | SFP_GEN[14].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__242_n_0 | SFP_GEN[38].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__112_n_0 | SFP_GEN[42].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__329_n_0 | SFP_GEN[31].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__469_n_0 | SFP_GEN[18].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__0_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__0_n_0 | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__49_n_0 | SFP_GEN[19].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__48_n_0 | SFP_GEN[19].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__168_n_0 | SFP_GEN[40].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__225_n_0 | SFP_GEN[33].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__348_n_0 | SFP_GEN[26].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__296_n_0 | SFP_GEN[10].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__85_n_0 | SFP_GEN[30].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__484_n_0 | SFP_GEN[39].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__13_n_0 | SFP_GEN[14].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__46_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__46_n_0 | 2 | 6 | 3.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__26_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__26_n_0 | 2 | 6 | 3.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 4 | 6 | 1.50 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__206_n_0 | SFP_GEN[41].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__15_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__15_n_0 | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__11_n_0 | SFP_GEN[14].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 4 | 6 | 1.50 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__530_n_0 | SFP_GEN[22].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__274_n_0 | SFP_GEN[23].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 4 | 6 | 1.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 5 | 6 | 1.20 | | CLKFBIN | ipb/status/set_addr_buf1 | | 3 | 6 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__45_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__45_n_0 | 1 | 6 | 6.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 4 | 6 | 1.50 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__492_n_0 | SFP_GEN[21].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 4 | 6 | 1.50 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__32_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__32_n_0 | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__207_n_0 | SFP_GEN[41].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__479_n_0 | SFP_GEN[39].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | CLK | i_I2C_if/I2C_array[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__131_n_0 | i_I2C_if/I2C_array[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__167_n_0 | SFP_GEN[40].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__463_n_0 | SFP_GEN[20].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__404_n_0 | SFP_GEN[32].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 4 | 6 | 1.50 | | CLK | i_I2C_if/I2C_array[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__132_n_0 | i_I2C_if/I2C_array[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__226_n_0 | SFP_GEN[33].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__491_n_0 | SFP_GEN[21].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | CLK | i_I2C_if/I2C_array[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__133_n_0 | i_I2C_if/I2C_array[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__470_n_0 | SFP_GEN[18].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__23_n_0 | SFP_GEN[15].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__279_n_0 | SFP_GEN[23].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | CLK | i_I2C_if/I2C_array[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__134_n_0 | i_I2C_if/I2C_array[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 4 | 6 | 1.50 | | CLK | i_I2C_if/I2C_array[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__137_n_0 | i_I2C_if/I2C_array[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 4 | 6 | 1.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__44_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__44_n_0 | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__483_n_0 | SFP_GEN[39].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | CLK | i_I2C_if/I2C_array[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__135_n_0 | i_I2C_if/I2C_array[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__9_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__9_n_0 | 2 | 6 | 3.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 3 | 6 | 2.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__414_n_0 | SFP_GEN[32].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | CLK | i_I2C_if/I2C_array[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__136_n_0 | i_I2C_if/I2C_array[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__204_n_0 | SFP_GEN[41].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 4 | 6 | 1.50 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__494_n_0 | SFP_GEN[21].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__19_n_0 | SFP_GEN[14].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | CLK | i_I2C_if/I2C_array[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__138_n_0 | i_I2C_if/I2C_array[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__23_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__23_n_0 | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__339_n_0 | SFP_GEN[0].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__14_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__14_n_0 | 2 | 6 | 3.00 | | CLK | i_I2C_if/I2C_array[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__139_n_0 | i_I2C_if/I2C_array[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__309_n_0 | SFP_GEN[34].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__517_n_0 | SFP_GEN[24].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__43_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__43_n_0 | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__334_n_0 | SFP_GEN[0].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__111_n_0 | SFP_GEN[42].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__251_n_0 | SFP_GEN[37].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__434_n_0 | SFP_GEN[11].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 5 | 6 | 1.20 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__205_n_0 | SFP_GEN[41].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__546_n_0 | SFP_GEN[12].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__53_n_0 | SFP_GEN[19].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__435_n_0 | SFP_GEN[11].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__252_n_0 | SFP_GEN[37].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__31_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__31_n_0 | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__415_n_0 | SFP_GEN[32].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__482_n_0 | SFP_GEN[39].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__471_n_0 | SFP_GEN[18].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__42_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__42_n_0 | 2 | 6 | 3.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 3 | 6 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__227_n_0 | SFP_GEN[33].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__253_n_0 | SFP_GEN[37].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__333_n_0 | SFP_GEN[0].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__3_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__3_n_0 | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__166_n_0 | SFP_GEN[40].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__405_n_0 | SFP_GEN[32].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__25_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__25_n_0 | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__488_n_0 | SFP_GEN[21].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__51_n_0 | SFP_GEN[19].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__345_n_0 | SFP_GEN[26].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__41_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__41_n_0 | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__536_n_0 | SFP_GEN[12].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__254_n_0 | SFP_GEN[37].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__514_n_0 | SFP_GEN[24].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__30_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__30_n_0 | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__110_n_0 | SFP_GEN[42].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__341_n_0 | SFP_GEN[0].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__346_n_0 | SFP_GEN[26].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 4 | 6 | 1.50 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__406_n_0 | SFP_GEN[32].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 5 | 6 | 1.20 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__515_n_0 | SFP_GEN[24].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 4 | 6 | 1.50 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__532_n_0 | SFP_GEN[22].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__354_n_0 | SFP_GEN[26].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__525_n_0 | SFP_GEN[22].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__203_n_0 | SFP_GEN[41].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__92_n_0 | SFP_GEN[30].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__472_n_0 | SFP_GEN[18].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__355_n_0 | SFP_GEN[26].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__407_n_0 | SFP_GEN[32].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__40_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__40_n_0 | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__534_n_0 | SFP_GEN[22].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__529_n_0 | SFP_GEN[22].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__228_n_0 | SFP_GEN[33].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 3 | 6 | 2.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__344_n_0 | SFP_GEN[26].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__308_n_0 | SFP_GEN[34].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__202_n_0 | SFP_GEN[41].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__7_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__7_n_0 | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__165_n_0 | SFP_GEN[40].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__109_n_0 | SFP_GEN[42].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__467_n_0 | SFP_GEN[18].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__313_n_0 | SFP_GEN[34].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__276_n_0 | SFP_GEN[23].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__462_n_0 | SFP_GEN[20].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__312_n_0 | SFP_GEN[34].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__408_n_0 | SFP_GEN[32].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__39_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__39_n_0 | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__68_n_0 | SFP_GEN[25].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__11_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__11_n_0 | 1 | 6 | 6.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 5 | 6 | 1.20 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__481_n_0 | SFP_GEN[39].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__229_n_0 | SFP_GEN[33].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__67_n_0 | SFP_GEN[25].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__513_n_0 | SFP_GEN[24].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__478_n_0 | SFP_GEN[39].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__318_n_0 | SFP_GEN[34].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__66_n_0 | SFP_GEN[25].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__29_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__29_n_0 | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__518_n_0 | SFP_GEN[24].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__65_n_0 | SFP_GEN[25].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 4 | 6 | 1.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__38_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__38_n_0 | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__539_n_0 | SFP_GEN[12].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__64_n_0 | SFP_GEN[25].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__57_n_0 | SFP_GEN[19].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__409_n_0 | SFP_GEN[32].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 4 | 6 | 1.50 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__473_n_0 | SFP_GEN[18].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__63_n_0 | SFP_GEN[25].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__523_n_0 | SFP_GEN[24].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__487_n_0 | SFP_GEN[39].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__62_n_0 | SFP_GEN[25].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__175_n_0 | SFP_GEN[40].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__283_n_0 | SFP_GEN[23].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__13_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__13_n_0 | 1 | 6 | 6.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 5 | 6 | 1.20 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__61_n_0 | SFP_GEN[25].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__9_n_0 | SFP_GEN[13].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__410_n_0 | SFP_GEN[32].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__230_n_0 | SFP_GEN[33].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__60_n_0 | SFP_GEN[25].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__52_n_0 | SFP_GEN[19].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__522_n_0 | SFP_GEN[24].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__70_n_0 | SFP_GEN[25].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__497_n_0 | SFP_GEN[21].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__37_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__37_n_0 | 2 | 6 | 3.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 4 | 6 | 1.50 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__69_n_0 | SFP_GEN[25].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__527_n_0 | SFP_GEN[22].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 4 | 6 | 1.50 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__211_n_0 | SFP_GEN[41].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__533_n_0 | SFP_GEN[22].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__59_n_0 | SFP_GEN[25].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__201_n_0 | SFP_GEN[41].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__320_n_0 | SFP_GEN[31].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__411_n_0 | SFP_GEN[32].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__273_n_0 | SFP_GEN[23].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__338_n_0 | SFP_GEN[0].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__521_n_0 | SFP_GEN[24].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__12_n_0 | SFP_GEN[14].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__16_n_0 | SFP_GEN[14].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__520_n_0 | SFP_GEN[24].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 3 | 6 | 2.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__15_n_0 | SFP_GEN[14].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__343_n_0 | SFP_GEN[0].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__526_n_0 | SFP_GEN[22].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__28_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__28_n_0 | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__108_n_0 | SFP_GEN[42].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__512_n_0 | SFP_GEN[24].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__36_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__36_n_0 | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__58_n_0 | SFP_GEN[19].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__535_n_0 | SFP_GEN[22].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__519_n_0 | SFP_GEN[24].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__174_n_0 | SFP_GEN[40].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | CLK | ipb/trans/sm/FSM_onehot_state[5]_i_1_n_0 | rst_ipb | 4 | 6 | 1.50 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__412_n_0 | SFP_GEN[32].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 5 | 6 | 1.20 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__231_n_0 | SFP_GEN[33].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__331_n_0 | SFP_GEN[31].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 3 | 6 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 5 | 6 | 1.20 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__34_n_0 | SFP_GEN[15].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 4 | 6 | 1.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__22_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__22_n_0 | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__210_n_0 | SFP_GEN[41].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__47_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__47_n_0 | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__493_n_0 | SFP_GEN[21].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__413_n_0 | SFP_GEN[32].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__330_n_0 | SFP_GEN[31].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__118_n_0 | SFP_GEN[42].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__21_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__21_n_0 | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__17_n_0 | SFP_GEN[14].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 5 | 6 | 1.20 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__476_n_0 | SFP_GEN[39].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__508_n_0 | SFP_GEN[3].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__509_n_0 | SFP_GEN[3].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__540_n_0 | SFP_GEN[12].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__531_n_0 | SFP_GEN[22].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | tx_wordclk | TX_CLKEN_repN_61 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/gbt_txreset_s[0] | 3 | 6 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__20_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__20_n_0 | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__255_n_0 | SFP_GEN[37].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__506_n_0 | SFP_GEN[3].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__516_n_0 | SFP_GEN[24].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__277_n_0 | SFP_GEN[23].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__507_n_0 | SFP_GEN[3].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__256_n_0 | SFP_GEN[37].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/reset_synchronizer_rx_done_inst/gtwiz_reset_rx_done_out[0] | 3 | 6 | 2.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__437_n_0 | SFP_GEN[11].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].rxBitSlipControl/clkSlipProcess.timer[5]_i_2__19_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].rxBitSlipControl/clkSlipProcess.timer[5]_i_1__19_n_0 | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__257_n_0 | SFP_GEN[37].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__89_n_0 | SFP_GEN[30].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__88_n_0 | SFP_GEN[30].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__87_n_0 | SFP_GEN[30].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__342_n_0 | SFP_GEN[0].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__86_n_0 | SFP_GEN[30].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__315_n_0 | SFP_GEN[34].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__332_n_0 | SFP_GEN[0].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__24_n_0 | SFP_GEN[15].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__316_n_0 | SFP_GEN[34].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__317_n_0 | SFP_GEN[34].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__25_n_0 | SFP_GEN[15].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__259_n_0 | SFP_GEN[37].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__258_n_0 | SFP_GEN[37].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__149_n_0 | SFP_GEN[47].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__373_n_0 | SFP_GEN[29].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__26_n_0 | SFP_GEN[15].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__148_n_0 | SFP_GEN[47].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__372_n_0 | SFP_GEN[29].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__147_n_0 | SFP_GEN[47].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__95_n_0 | SFP_GEN[35].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__27_n_0 | SFP_GEN[15].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 6 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__146_n_0 | SFP_GEN[47].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__105_n_0 | SFP_GEN[35].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 6 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__145_n_0 | SFP_GEN[47].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__106_n_0 | SFP_GEN[35].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 6 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__96_n_0 | SFP_GEN[35].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 3 | 6 | 2.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 3 | 6 | 2.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__144_n_0 | SFP_GEN[47].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__91_n_0 | SFP_GEN[30].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__90_n_0 | SFP_GEN[30].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__143_n_0 | SFP_GEN[47].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 6 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 6 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 3 | 6 | 2.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 6 | 6.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__142_n_0 | SFP_GEN[47].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 6 | 6.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__455_n_0 | SFP_GEN[20].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 6 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__141_n_0 | SFP_GEN[47].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__28_n_0 | SFP_GEN[15].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 6 | 3.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 3 | 6 | 2.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__29_n_0 | SFP_GEN[15].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__140_n_0 | SFP_GEN[47].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__456_n_0 | SFP_GEN[20].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 3 | 6 | 2.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__151_n_0 | SFP_GEN[47].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__97_n_0 | SFP_GEN[35].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__30_n_0 | SFP_GEN[15].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__31_n_0 | SFP_GEN[15].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__150_n_0 | SFP_GEN[47].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__98_n_0 | SFP_GEN[35].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__188_n_0 | SFP_GEN[9].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__99_n_0 | SFP_GEN[35].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__198_n_0 | SFP_GEN[9].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__32_n_0 | SFP_GEN[15].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__250_n_0 | SFP_GEN[37].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 6 | 3.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 6 | 6.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 3 | 6 | 2.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__249_n_0 | SFP_GEN[37].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__538_n_0 | SFP_GEN[12].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__101_n_0 | SFP_GEN[35].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__199_n_0 | SFP_GEN[9].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 6 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__102_n_0 | SFP_GEN[35].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 6 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__189_n_0 | SFP_GEN[9].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 6 | 6.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__190_n_0 | SFP_GEN[9].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__35_n_0 | SFP_GEN[16].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__103_n_0 | SFP_GEN[35].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 6 | 6.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 3 | 6 | 2.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__104_n_0 | SFP_GEN[35].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__191_n_0 | SFP_GEN[9].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/ui_align_cntr | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__192_n_0 | SFP_GEN[9].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__100_n_0 | SFP_GEN[35].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__47_n_0 | SFP_GEN[19].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__45_n_0 | SFP_GEN[16].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__544_n_0 | SFP_GEN[12].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__21_n_0 | SFP_GEN[14].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__307_n_0 | SFP_GEN[10].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__193_n_0 | SFP_GEN[9].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__297_n_0 | SFP_GEN[10].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__194_n_0 | SFP_GEN[9].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__416_n_0 | SFP_GEN[36].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__298_n_0 | SFP_GEN[10].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__195_n_0 | SFP_GEN[9].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__426_n_0 | SFP_GEN[36].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__46_n_0 | SFP_GEN[16].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__8_n_0 | SFP_GEN[13].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__299_n_0 | SFP_GEN[10].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__427_n_0 | SFP_GEN[36].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__300_n_0 | SFP_GEN[10].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__196_n_0 | SFP_GEN[9].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__7_n_0 | SFP_GEN[13].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__542_n_0 | SFP_GEN[12].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__197_n_0 | SFP_GEN[9].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__301_n_0 | SFP_GEN[10].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__417_n_0 | SFP_GEN[36].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__36_n_0 | SFP_GEN[16].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | clk250 | stat_regs_inst/wea_repN_3 | | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__6_n_0 | SFP_GEN[13].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__302_n_0 | SFP_GEN[10].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__418_n_0 | SFP_GEN[36].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | clk250 | g_clock_rate_din[15].i_rate_ngccm_status0/E[0]_repN_5 | | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__37_n_0 | SFP_GEN[16].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__5_n_0 | SFP_GEN[13].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__419_n_0 | SFP_GEN[36].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__303_n_0 | SFP_GEN[10].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__420_n_0 | SFP_GEN[36].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__304_n_0 | SFP_GEN[10].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__38_n_0 | SFP_GEN[16].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__421_n_0 | SFP_GEN[36].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__305_n_0 | SFP_GEN[10].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__422_n_0 | SFP_GEN[36].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__457_n_0 | SFP_GEN[20].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__423_n_0 | SFP_GEN[36].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__461_n_0 | SFP_GEN[20].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__458_n_0 | SFP_GEN[20].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__424_n_0 | SFP_GEN[36].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__39_n_0 | SFP_GEN[16].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__425_n_0 | SFP_GEN[36].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__4_n_0 | SFP_GEN[13].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__453_n_0 | SFP_GEN[20].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__40_n_0 | SFP_GEN[16].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__454_n_0 | SFP_GEN[20].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__401_n_0 | SFP_GEN[1].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__438_n_0 | SFP_GEN[11].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__400_n_0 | SFP_GEN[1].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__399_n_0 | SFP_GEN[1].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__398_n_0 | SFP_GEN[1].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__41_n_0 | SFP_GEN[16].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__397_n_0 | SFP_GEN[1].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__428_n_0 | SFP_GEN[11].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__396_n_0 | SFP_GEN[1].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__395_n_0 | SFP_GEN[1].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__394_n_0 | SFP_GEN[1].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__393_n_0 | SFP_GEN[1].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__403_n_0 | SFP_GEN[1].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__449_n_0 | SFP_GEN[8].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__448_n_0 | SFP_GEN[8].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__475_n_0 | SFP_GEN[18].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__447_n_0 | SFP_GEN[8].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__402_n_0 | SFP_GEN[1].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__446_n_0 | SFP_GEN[8].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__445_n_0 | SFP_GEN[8].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__444_n_0 | SFP_GEN[8].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__443_n_0 | SFP_GEN[8].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__442_n_0 | SFP_GEN[8].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__392_n_0 | SFP_GEN[1].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__441_n_0 | SFP_GEN[8].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__451_n_0 | SFP_GEN[8].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__450_n_0 | SFP_GEN[8].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__440_n_0 | SFP_GEN[8].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__42_n_0 | SFP_GEN[16].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__220_n_0 | SFP_GEN[7].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__221_n_0 | SFP_GEN[7].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__43_n_0 | SFP_GEN[16].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__218_n_0 | SFP_GEN[7].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__219_n_0 | SFP_GEN[7].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__44_n_0 | SFP_GEN[16].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__216_n_0 | SFP_GEN[7].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__217_n_0 | SFP_GEN[7].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__214_n_0 | SFP_GEN[7].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__3_n_0 | SFP_GEN[13].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__215_n_0 | SFP_GEN[7].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__213_n_0 | SFP_GEN[7].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__223_n_0 | SFP_GEN[7].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__459_n_0 | SFP_GEN[20].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__439_n_0 | SFP_GEN[11].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__212_n_0 | SFP_GEN[7].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__222_n_0 | SFP_GEN[7].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__365_n_0 | SFP_GEN[6].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__364_n_0 | SFP_GEN[6].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__363_n_0 | SFP_GEN[6].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__362_n_0 | SFP_GEN[6].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__361_n_0 | SFP_GEN[6].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__360_n_0 | SFP_GEN[6].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__359_n_0 | SFP_GEN[6].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__358_n_0 | SFP_GEN[6].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__357_n_0 | SFP_GEN[6].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__367_n_0 | SFP_GEN[6].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__460_n_0 | SFP_GEN[20].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__366_n_0 | SFP_GEN[6].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__429_n_0 | SFP_GEN[11].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__356_n_0 | SFP_GEN[6].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__185_n_0 | SFP_GEN[5].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__2_n_0 | SFP_GEN[13].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__184_n_0 | SFP_GEN[5].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__183_n_0 | SFP_GEN[5].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__182_n_0 | SFP_GEN[5].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__181_n_0 | SFP_GEN[5].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__180_n_0 | SFP_GEN[5].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__179_n_0 | SFP_GEN[5].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__178_n_0 | SFP_GEN[5].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__284_n_0 | SFP_GEN[17].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__177_n_0 | SFP_GEN[5].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__431_n_0 | SFP_GEN[11].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__187_n_0 | SFP_GEN[5].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__186_n_0 | SFP_GEN[5].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 3 | 6 | 2.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__176_n_0 | SFP_GEN[5].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__389_n_0 | SFP_GEN[4].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__541_n_0 | SFP_GEN[12].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__294_n_0 | SFP_GEN[17].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__387_n_0 | SFP_GEN[4].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__388_n_0 | SFP_GEN[4].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__1_n_0 | SFP_GEN[13].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__385_n_0 | SFP_GEN[4].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__430_n_0 | SFP_GEN[11].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__386_n_0 | SFP_GEN[4].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__383_n_0 | SFP_GEN[4].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__384_n_0 | SFP_GEN[4].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__381_n_0 | SFP_GEN[4].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__382_n_0 | SFP_GEN[4].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__390_n_0 | SFP_GEN[4].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__391_n_0 | SFP_GEN[4].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__380_n_0 | SFP_GEN[4].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__581_n_0 | SFP_GEN[46].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__580_n_0 | SFP_GEN[46].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__579_n_0 | SFP_GEN[46].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__578_n_0 | SFP_GEN[46].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__577_n_0 | SFP_GEN[46].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__576_n_0 | SFP_GEN[46].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__575_n_0 | SFP_GEN[46].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__574_n_0 | SFP_GEN[46].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__573_n_0 | SFP_GEN[46].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__295_n_0 | SFP_GEN[17].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__583_n_0 | SFP_GEN[46].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__582_n_0 | SFP_GEN[46].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__572_n_0 | SFP_GEN[46].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__161_n_0 | SFP_GEN[45].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__160_n_0 | SFP_GEN[45].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__285_n_0 | SFP_GEN[17].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__0_n_0 | SFP_GEN[13].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__159_n_0 | SFP_GEN[45].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__433_n_0 | SFP_GEN[11].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__158_n_0 | SFP_GEN[45].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__543_n_0 | SFP_GEN[12].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__157_n_0 | SFP_GEN[45].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__156_n_0 | SFP_GEN[45].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__155_n_0 | SFP_GEN[45].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__432_n_0 | SFP_GEN[11].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__286_n_0 | SFP_GEN[17].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__154_n_0 | SFP_GEN[45].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__153_n_0 | SFP_GEN[45].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__163_n_0 | SFP_GEN[45].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__162_n_0 | SFP_GEN[45].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__152_n_0 | SFP_GEN[45].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__569_n_0 | SFP_GEN[44].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__567_n_0 | SFP_GEN[44].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__568_n_0 | SFP_GEN[44].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__287_n_0 | SFP_GEN[17].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__565_n_0 | SFP_GEN[44].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__566_n_0 | SFP_GEN[44].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__71_n_0 | SFP_GEN[28].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__81_n_0 | SFP_GEN[28].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__288_n_0 | SFP_GEN[17].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__82_n_0 | SFP_GEN[28].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__563_n_0 | SFP_GEN[44].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__72_n_0 | SFP_GEN[28].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__289_n_0 | SFP_GEN[17].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__564_n_0 | SFP_GEN[44].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__73_n_0 | SFP_GEN[28].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 3 | 6 | 2.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__74_n_0 | SFP_GEN[28].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__290_n_0 | SFP_GEN[17].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__537_n_0 | SFP_GEN[12].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__75_n_0 | SFP_GEN[28].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__76_n_0 | SFP_GEN[28].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__561_n_0 | SFP_GEN[44].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__562_n_0 | SFP_GEN[44].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__77_n_0 | SFP_GEN[28].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__78_n_0 | SFP_GEN[28].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__79_n_0 | SFP_GEN[28].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__291_n_0 | SFP_GEN[17].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__80_n_0 | SFP_GEN[28].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__570_n_0 | SFP_GEN[44].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__571_n_0 | SFP_GEN[44].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__560_n_0 | SFP_GEN[44].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__548_n_0 | SFP_GEN[2].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__559_n_0 | SFP_GEN[2].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__128_n_0 | SFP_GEN[43].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__292_n_0 | SFP_GEN[17].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__558_n_0 | SFP_GEN[2].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__550_n_0 | SFP_GEN[2].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__549_n_0 | SFP_GEN[2].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__127_n_0 | SFP_GEN[43].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__552_n_0 | SFP_GEN[2].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__551_n_0 | SFP_GEN[2].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__126_n_0 | SFP_GEN[43].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__554_n_0 | SFP_GEN[2].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__553_n_0 | SFP_GEN[2].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__125_n_0 | SFP_GEN[43].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__556_n_0 | SFP_GEN[2].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__124_n_0 | SFP_GEN[43].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__555_n_0 | SFP_GEN[2].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__547_n_0 | SFP_GEN[12].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__123_n_0 | SFP_GEN[43].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__557_n_0 | SFP_GEN[2].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__293_n_0 | SFP_GEN[17].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__122_n_0 | SFP_GEN[43].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__121_n_0 | SFP_GEN[43].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__368_n_0 | SFP_GEN[29].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__10_n_0 | SFP_GEN[13].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__378_n_0 | SFP_GEN[29].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__120_n_0 | SFP_GEN[43].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__379_n_0 | SFP_GEN[29].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__130_n_0 | SFP_GEN[43].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__369_n_0 | SFP_GEN[29].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__474_n_0 | SFP_GEN[18].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__370_n_0 | SFP_GEN[29].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__129_n_0 | SFP_GEN[43].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__464_n_0 | SFP_GEN[18].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__371_n_0 | SFP_GEN[29].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__374_n_0 | SFP_GEN[29].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__119_n_0 | SFP_GEN[43].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__375_n_0 | SFP_GEN[29].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__376_n_0 | SFP_GEN[29].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 2 | 6 | 3.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__116_n_0 | SFP_GEN[42].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait_cnt[1]_i_1__377_n_0 | SFP_GEN[29].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/slave_wait | 1 | 6 | 6.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].patternSearch/nbCheckedHeaders0 | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].patternSearch/nbCheckedHeaders0 | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 2 | 7 | 3.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].patternSearch/nbCheckedHeaders0 | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 5 | 7 | 1.40 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 5 | 7 | 1.40 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].patternSearch/nbCheckedHeaders0 | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].patternSearch/nbCheckedHeaders0 | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 6 | 7 | 1.17 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].patternSearch/nbCheckedHeaders0 | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 2 | 7 | 3.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | CLKFBIN | | eth/mac/i_mac/emacphytxd[7] | 2 | 7 | 3.50 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | CLKFBIN | | ipb/udp_if/clock_crossing_if/rarp_buf[1] | 2 | 7 | 3.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].patternSearch/nbCheckedHeaders0 | | 2 | 7 | 3.50 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | CLKFBIN | | ipb/udp_if/RARP_block/data_block.data_buffer[46]_i_1_n_0 | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 2 | 7 | 3.50 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | CLKFBIN | ipb/udp_if/rx_reset_block/rarp.pkt_mask_reg[37] | ipb/udp_if/rx_reset_block/rarp.pkt_mask_reg[37]_0 | 2 | 7 | 3.50 | | CLKFBIN | ipb/udp_if/rx_packet_parser/ipbus_pkt.pkt_data[22]_i_1_n_0 | ipb/udp_if/rx_reset_block/SR[0] | 1 | 7 | 7.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].patternSearch/nbCheckedHeaders0 | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].patternSearch/nbCheckedHeaders0 | | 3 | 7 | 2.33 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].patternSearch/nbCheckedHeaders0 | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 2 | 7 | 3.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].patternSearch/nbCheckedHeaders0 | | 3 | 7 | 2.33 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 7 | 2.33 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | CLK | i_I2C_if/I2C_array[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 7 | 2.33 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | CLK | i_I2C_if/I2C_array[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].patternSearch/nbCheckedHeaders0 | | 3 | 7 | 2.33 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | CLK | i_I2C_if/I2C_array[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].patternSearch/nbCheckedHeaders0 | | 3 | 7 | 2.33 | | CLK | i_I2C_if/I2C_array[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | CLK | i_I2C_if/I2C_array[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | CLK | i_I2C_if/I2C_array[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | CLK | i_I2C_if/I2C_array[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 7 | 2.33 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | CLK | i_I2C_if/I2C_array[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].patternSearch/nbCheckedHeaders0 | | 2 | 7 | 3.50 | | CLK | i_I2C_if/I2C_array[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].patternSearch/nbCheckedHeaders0 | | 4 | 7 | 1.75 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 7 | 2.33 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].patternSearch/nbCheckedHeaders0 | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].patternSearch/nbCheckedHeaders0 | | 1 | 7 | 7.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_pi_ctrl/phase_acc | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_reset_tx_done_sync/syncstages_ff[3] | 1 | 7 | 7.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].patternSearch/nbCheckedHeaders0 | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 1 | 7 | 7.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 5 | 7 | 1.40 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].patternSearch/nbCheckedHeaders0 | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].patternSearch/nbCheckedHeaders0 | | 1 | 7 | 7.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].patternSearch/nbCheckedHeaders0 | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 5 | 7 | 1.40 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | clk250 | g_clock_rate_din[14].i_rate_ngccm_status0/E[0]_repN_1 | | 2 | 7 | 3.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].patternSearch/nbCheckedHeaders0 | | 3 | 7 | 2.33 | | clk250 | g_clock_rate_din[14].i_rate_ngccm_status0/E[0]_repN_3 | | 1 | 7 | 7.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].patternSearch/nbCheckedHeaders0 | | 3 | 7 | 2.33 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 5 | 7 | 1.40 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | CLK | ipb/trans/iface/FSM_onehot_state[6]_i_1_n_0 | rst_ipb | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/AR[0] | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].patternSearch/nbCheckedHeaders0 | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 5 | 7 | 1.40 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].patternSearch/nbCheckedHeaders0 | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].patternSearch/nbCheckedHeaders0 | | 1 | 7 | 7.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].patternSearch/nbCheckedHeaders0 | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].patternSearch/nbCheckedHeaders0 | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/AR[0] | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].patternSearch/nbCheckedHeaders0 | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].patternSearch/nbCheckedHeaders0 | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].patternSearch/nbCheckedHeaders0 | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].patternSearch/nbCheckedHeaders0 | | 1 | 7 | 7.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].patternSearch/nbCheckedHeaders0 | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 2 | 7 | 3.50 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].patternSearch/nbCheckedHeaders0 | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].patternSearch/nbCheckedHeaders0 | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].patternSearch/nbCheckedHeaders0 | | 5 | 7 | 1.40 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/AR[0] | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].patternSearch/nbCheckedHeaders0 | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].patternSearch/nbCheckedHeaders0 | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 7 | 2.33 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].patternSearch/nbCheckedHeaders0 | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].patternSearch/nbCheckedHeaders0 | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].patternSearch/nbCheckedHeaders0 | | 2 | 7 | 3.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].patternSearch/nbCheckedHeaders0 | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 5 | 7 | 1.40 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 6 | 7 | 1.17 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].patternSearch/nbCheckedHeaders0 | | 2 | 7 | 3.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/AR[0] | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].patternSearch/nbCheckedHeaders0 | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].patternSearch/nbCheckedHeaders0 | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 5 | 7 | 1.40 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].patternSearch/nbCheckedHeaders0 | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 5 | 7 | 1.40 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].patternSearch/nbCheckedHeaders0 | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].patternSearch/nbCheckedHeaders0 | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 2 | 7 | 3.50 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 4 | 7 | 1.75 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 3 | 7 | 2.33 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/bit_ctrl/E[0] | | 5 | 7 | 1.40 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__53_n_0 | SFP_GEN[19].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__56_n_0 | SFP_GEN[19].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__14_n_0 | SFP_GEN[14].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[42][9]_0 | 2 | 8 | 4.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].patternSearch/gbtBank_Clk_gen[7].cnt_reg[7][7][0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[39][26]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[34][4]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__15_n_0 | SFP_GEN[14].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__523_n_0 | SFP_GEN[22].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__57_n_0 | SFP_GEN[19].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[42][8]_0 | 2 | 8 | 4.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].patternSearch/gbtBank_Clk_gen[6].cnt_reg[6][7][0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 8 | 2.67 | | CLK | SFP_GEN[29].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].patternSearch/gbtBank_Clk_gen[5].cnt_reg[5][7][0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__21_n_0 | SFP_GEN[14].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 2 | 8 | 4.00 | | CLK | SFP_GEN[15].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[33].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[26].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | CLK | SFP_GEN[33].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[33].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[0].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[0].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[33].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__297_n_0 | SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 2 | 8 | 4.00 | | CLK | SFP_GEN[33].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[30][10]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[0].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[33].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[33].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[33].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].patternSearch/gbtBank_Clk_gen[4].cnt_reg[4][7][0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 8 | 2.67 | | CLK | SFP_GEN[33].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[0].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[33].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[0].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[33].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__522_n_0 | SFP_GEN[22].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 2 | 8 | 4.00 | | CLK | SFP_GEN[33].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__287_n_0 | SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 1 | 8 | 8.00 | | CLK | SFP_GEN[0].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[33].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[34][5]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[42][7]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[0].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[30][0]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[0].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__16_n_0 | SFP_GEN[14].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 2 | 8 | 4.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].patternSearch/gbtBank_Clk_gen[11].cnt_reg[11][7][0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 8 | 2.67 | | CLK | SFP_GEN[0].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[34][10]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[0].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[32][3]_0 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[34][6]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[0].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | CLK | SFP_GEN[0].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__17_n_0 | SFP_GEN[14].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 1 | 8 | 8.00 | | CLK | SFP_GEN[0].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__55_n_0 | SFP_GEN[19].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[39][25]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__521_n_0 | SFP_GEN[22].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 2 | 8 | 4.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].patternSearch/gbtBank_Clk_gen[10].cnt_reg[10][7][0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[42][6]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[39][16]_0 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[34][7]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__18_n_0 | SFP_GEN[14].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__520_n_0 | SFP_GEN[22].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[42][5]_0 | 2 | 8 | 4.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].patternSearch/gbtBank_Clk_gen[9].cnt_reg[9][7][0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__453_n_0 | SFP_GEN[20].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[40][10]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__47_n_0 | SFP_GEN[19].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[25].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__519_n_0 | SFP_GEN[22].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__443_n_0 | SFP_GEN[20].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 4 | 8 | 2.00 | | CLK | SFP_GEN[25].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[42][4]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[40][0]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[25].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[34][8]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[25].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].patternSearch/E[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/AR[0] | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__19_n_0 | SFP_GEN[14].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 2 | 8 | 4.00 | | CLK | SFP_GEN[25].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__518_n_0 | SFP_GEN[22].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__11_n_0 | SFP_GEN[14].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[42][3]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[25].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__517_n_0 | SFP_GEN[22].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 2 | 8 | 4.00 | | CLK | SFP_GEN[25].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[25].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__332_n_0 | SFP_GEN[0].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[20][9]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[34][0]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[25].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].patternSearch/gbtBank_Clk_gen[2].cnt_reg[2][7][0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[42][2]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[25].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | CLK | SFP_GEN[25].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__516_n_0 | SFP_GEN[22].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[42][1]_0 | 2 | 8 | 4.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].patternSearch/gbtBank_Clk_gen[3].cnt_reg[3][7][0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__526_n_0 | SFP_GEN[22].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[42][11]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__331_n_0 | SFP_GEN[0].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 2 | 8 | 4.00 | | CLK | SFP_GEN[34].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[20][8]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[34].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[34].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__525_n_0 | SFP_GEN[22].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 1 | 8 | 8.00 | | CLK | SFP_GEN[34].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[34][9]_0 | 1 | 8 | 8.00 | | CLK | SFP_GEN[9].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[34].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[42][10]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[34].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[9].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[9].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[34].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[34].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__330_n_0 | SFP_GEN[0].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 1 | 8 | 8.00 | | CLK | SFP_GEN[34].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[9].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[34].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 6 | 8 | 1.33 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[20][7]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[34].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[25].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | CLK | SFP_GEN[9].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[9].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 6 | 8 | 1.33 | | CLK | SFP_GEN[34].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__20_n_0 | SFP_GEN[14].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 4 | 8 | 2.00 | | CLK | SFP_GEN[9].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[34].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | CLK | SFP_GEN[25].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[9].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__515_n_0 | SFP_GEN[22].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[9].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[42][0]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[9].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[9].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__329_n_0 | SFP_GEN[0].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[20][6]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[9].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[9].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 6 | 8 | 1.33 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[57][16]_1 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__488_n_0 | SFP_GEN[21].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[41][25]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__328_n_0 | SFP_GEN[0].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__487_n_0 | SFP_GEN[21].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[20][5]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[41][24]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[41][23]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__485_n_0 | SFP_GEN[21].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[41][22]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__327_n_0 | SFP_GEN[0].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[20][4]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__486_n_0 | SFP_GEN[21].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[41][21]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__483_n_0 | SFP_GEN[21].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[41][20]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[10].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[10].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[10].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[10].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__326_n_0 | SFP_GEN[0].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 3 | 8 | 2.67 | | CLK | SFP_GEN[10].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[20][3]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[10].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__484_n_0 | SFP_GEN[21].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[41][19]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[10].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[10].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[10].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__325_n_0 | SFP_GEN[0].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 2 | 8 | 4.00 | | CLK | SFP_GEN[10].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[10].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[20][2]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[10].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[10].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__482_n_0 | SFP_GEN[21].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__481_n_0 | SFP_GEN[21].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[41][18]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__529_n_0 | SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[35][16]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__480_n_0 | SFP_GEN[21].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__23_n_0 | SFP_GEN[15].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__324_n_0 | SFP_GEN[0].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[20][1]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[35][26]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__33_n_0 | SFP_GEN[15].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[41][17]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__490_n_0 | SFP_GEN[21].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[41][27]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__334_n_0 | SFP_GEN[0].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__489_n_0 | SFP_GEN[21].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[41][26]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[20][11]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[35][27]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__479_n_0 | SFP_GEN[21].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[41][16]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__89_n_0 | SFP_GEN[30].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[50][6]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__316_n_0 | SFP_GEN[31].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[47][25]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__88_n_0 | SFP_GEN[30].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[50][5]_0 | 2 | 8 | 4.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].patternSearch/gbtBank_Clk_gen[1].cnt_reg[1][7][0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 8 | 2.67 | | CLK | SFP_GEN[11].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__259_n_0 | SFP_GEN[27].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 2 | 8 | 4.00 | | CLK | SFP_GEN[11].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[11].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[11].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[35].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[32][2]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__87_n_0 | SFP_GEN[30].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 1 | 8 | 8.00 | | CLK | SFP_GEN[35].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__34_n_0 | SFP_GEN[15].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 2 | 8 | 4.00 | | CLK | SFP_GEN[11].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | CLK | SFP_GEN[35].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__333_n_0 | SFP_GEN[0].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[50][4]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[35].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[20][10]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[11].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 6 | 8 | 1.33 | | CLK | SFP_GEN[35].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[35][17]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[11].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | CLK | SFP_GEN[35].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[47][24]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__260_n_0 | SFP_GEN[27].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 1 | 8 | 8.00 | | CLK | SFP_GEN[35].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[47][23]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[11].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[35].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | CLK | SFP_GEN[11].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__257_n_0 | SFP_GEN[27].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 3 | 8 | 2.67 | | CLK | SFP_GEN[35].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[11].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[11].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[35].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[28].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__86_n_0 | SFP_GEN[30].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 2 | 8 | 4.00 | | CLK | SFP_GEN[35].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__323_n_0 | SFP_GEN[0].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[50][3]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[35].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[20][0]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[47][22]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[35].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__258_n_0 | SFP_GEN[27].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[47][21]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__305_n_0 | SFP_GEN[34].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[54][6]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[62][8]_0 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[38][11]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__256_n_0 | SFP_GEN[27].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__255_n_0 | SFP_GEN[27].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__306_n_0 | SFP_GEN[34].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[47][20]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__254_n_0 | SFP_GEN[27].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[54][7]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[47][19]_1 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__253_n_0 | SFP_GEN[27].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__307_n_0 | SFP_GEN[34].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[54][8]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__24_n_0 | SFP_GEN[15].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[47][18]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[28].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__252_n_0 | SFP_GEN[27].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__308_n_0 | SFP_GEN[34].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[54][9]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__262_n_0 | SFP_GEN[27].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[47][27]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[57][27]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__261_n_0 | SFP_GEN[27].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[47][17]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__249_n_0 | SFP_GEN[37].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[35][19]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[57][26]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[47][26]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__251_n_0 | SFP_GEN[27].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[12].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[35][18]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__25_n_0 | SFP_GEN[15].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__140_n_0 | SFP_GEN[47].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[67][25]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[12].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 7 | 8 | 1.14 | | CLK | SFP_GEN[12].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 6 | 8 | 1.33 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__239_n_0 | SFP_GEN[37].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[12].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[47][16]_1 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__114_n_0 | SFP_GEN[42].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[62][7]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[12].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__344_n_0 | SFP_GEN[26].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 2 | 8 | 4.00 | | CLK | SFP_GEN[12].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[24].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[12].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[46][9]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[12].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__139_n_0 | SFP_GEN[47].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 2 | 8 | 4.00 | | CLK | SFP_GEN[24].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[67][24]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[12].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__363_n_0 | SFP_GEN[29].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__343_n_0 | SFP_GEN[26].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 2 | 8 | 4.00 | | CLK | SFP_GEN[12].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[46][8]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__342_n_0 | SFP_GEN[26].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 1 | 8 | 8.00 | | CLK | SFP_GEN[24].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[49][20]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[12].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__26_n_0 | SFP_GEN[15].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 2 | 8 | 4.00 | | CLK | SFP_GEN[12].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[24].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__138_n_0 | SFP_GEN[47].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[46][7]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[67][23]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__341_n_0 | SFP_GEN[26].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 4 | 8 | 2.00 | | CLK | SFP_GEN[24].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__362_n_0 | SFP_GEN[29].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[46][6]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[49][21]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__95_n_0 | SFP_GEN[35].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[55][16]_1 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__9_n_0 | SFP_GEN[13].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 1 | 8 | 8.00 | | CLK | SFP_GEN[24].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__466_n_0 | SFP_GEN[18].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__113_n_0 | SFP_GEN[42].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 2 | 8 | 4.00 | | CLK | SFP_GEN[24].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__105_n_0 | SFP_GEN[35].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 2 | 8 | 4.00 | | CLKFBIN | | eth/mac/i_mac/status_we0 | 6 | 8 | 1.33 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[62][6]_0 | 2 | 8 | 4.00 | | CLKFBIN | | eth/mac/i_mac/tx_d[7]_i_1_n_0 | 1 | 8 | 8.00 | | CLK | SFP_GEN[24].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[50][0]_1 | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__137_n_0 | SFP_GEN[47].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[67][22]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[55][26]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__83_n_0 | SFP_GEN[30].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 3 | 8 | 2.67 | | CLK | SFP_GEN[28].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__340_n_0 | SFP_GEN[26].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[46][5]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[24].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | CLKFBIN | | ipb/udp_if/rx_packet_parser/pkt_payload_drop_sig_reg_0 | 3 | 8 | 2.67 | | CLK | SFP_GEN[24].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLKFBIN | | ipb/udp_if/rx_packet_parser/p_0_in | 4 | 8 | 2.00 | | CLKFBIN | | ipb/udp_if/rx_packet_parser/shift_buf1 | 2 | 8 | 4.00 | | CLK | SFP_GEN[42].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__106_n_0 | SFP_GEN[35].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__136_n_0 | SFP_GEN[47].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[35][21]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[38][3]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[67][21]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[24].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[55][27]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[50][10]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__93_n_0 | SFP_GEN[30].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__339_n_0 | SFP_GEN[26].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 2 | 8 | 4.00 | | CLK | SFP_GEN[24].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__537_n_0 | SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[35][20]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[24].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | CLK | SFP_GEN[42].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | CLK | SFP_GEN[42].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__456_n_0 | SFP_GEN[18].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[50][11]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__94_n_0 | SFP_GEN[30].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[32][10]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__96_n_0 | SFP_GEN[35].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[55][17]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[29].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[46][4]_0 | 2 | 8 | 4.00 | | CLKFBIN | ipb/udp_if/ARP/load_buf | eth/mac/i_mac/set_addr_int1 | 3 | 8 | 2.67 | | CLKFBIN | ipb/udp_if/payload/build_packet.payload_len[15]_i_1_n_0 | ipb/udp_if/rx_reset_block/SR[0] | 5 | 8 | 1.60 | | CLKFBIN | ipb/udp_if/payload/do_cksum.int_data_int[7]_i_2_n_0 | ipb/udp_if/rx_packet_parser/address_block.low_addr_i_reg[0] | 2 | 8 | 4.00 | | CLKFBIN | ipb/udp_if/payload/do_cksum.payload_len[13]_i_1_n_0 | ipb/udp_if/rx_reset_block/SR[0] | 2 | 8 | 4.00 | | CLKFBIN | ipb/udp_if/payload/build_packet.buf_to_load_int[15]_i_1_n_0 | ipb/udp_if/rx_reset_block/SR[0] | 5 | 8 | 1.60 | | CLKFBIN | ipb/udp_if/payload/build_packet.payload_len[7]_i_1_n_0 | ipb/udp_if/rx_reset_block/SR[0] | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__91_n_0 | SFP_GEN[30].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 2 | 8 | 4.00 | | CLK | SFP_GEN[36].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__135_n_0 | SFP_GEN[47].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[50][8]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[36].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLKFBIN | ipb/udp_if/payload/build_packet.buf_to_load_int[7]_i_1_n_0 | ipb/udp_if/rx_reset_block/SR[0] | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__112_n_0 | SFP_GEN[42].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 2 | 8 | 4.00 | | CLK | SFP_GEN[36].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLKFBIN | ipb/udp_if/ping/build_packet.buf_to_load_int[15]_i_1__0_n_0 | ipb/udp_if/rx_reset_block/SR[0] | 3 | 8 | 2.67 | | CLK | SFP_GEN[36].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLKFBIN | ipb/udp_if/ping/load_buf | ipb/udp_if/ping/shift_buf1 | 4 | 8 | 2.00 | | CLKFBIN | ipb/udp_if/ping/build_packet.buf_to_load_int[7]_i_1__0_n_0 | ipb/udp_if/rx_reset_block/SR[0] | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[50][1]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[36].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__27_n_0 | SFP_GEN[15].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__338_n_0 | SFP_GEN[26].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 2 | 8 | 4.00 | | CLK | SFP_GEN[36].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | CLKFBIN | ipb/udp_if/rx_reset_block/arp.pkt_mask_reg[41] | ipb/udp_if/rx_packet_parser/arp.pkt_data[7]_i_1_n_0 | 8 | 8 | 1.00 | | CLK | SFP_GEN[36].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[46][3]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__134_n_0 | SFP_GEN[47].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__90_n_0 | SFP_GEN[30].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 2 | 8 | 4.00 | | CLK | SFP_GEN[36].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[67][19]_1 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[50][7]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[36].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLKFBIN | ipb/udp_if/rx_reset_block/ip_pkt.pkt_mask_reg[33] | ipb/udp_if/rx_reset_block/ip_pkt.pkt_mask_reg[33]_0 | 6 | 8 | 1.33 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__84_n_0 | SFP_GEN[30].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 2 | 8 | 4.00 | | CLK | SFP_GEN[36].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__111_n_0 | SFP_GEN[42].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 1 | 8 | 8.00 | | CLK | SFP_GEN[36].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLKFBIN | ipb/udp_if/status_buffer/history[7]_i_1_n_0 | | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__337_n_0 | SFP_GEN[26].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 1 | 8 | 8.00 | | CLKFBIN | ipb/udp_if/tx_main/do_ipbus_hdr.ipbus_hdr_int[23]_i_1_n_0 | rst_clk125 | 4 | 8 | 2.00 | | CLK | SFP_GEN[36].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLKFBIN | ipb/udp_if/tx_main/do_ipbus_hdr.ipbus_hdr_int[31]_i_1__0_n_0 | rst_clk125 | 1 | 8 | 8.00 | | CLKFBIN | ipb/udp_if/tx_main/do_ipbus_hdr.ipbus_hdr_int[7]_i_1_n_0 | rst_clk125 | 4 | 8 | 2.00 | | CLK | SFP_GEN[36].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLKFBIN | ipb/udp_if/tx_main/do_ipbus_hdr.ipbus_hdr_int[15]_i_1_n_0 | rst_clk125 | 4 | 8 | 2.00 | | CLK | SFP_GEN[13].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLKFBIN | ipb/udp_if/tx_main/send_data.mac_tx_data_int[7]_i_2_n_0 | ipb/udp_if/tx_main/send_data.mac_tx_data_int[7]_i_1_n_0 | 1 | 8 | 8.00 | | CLK | SFP_GEN[13].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLKFBIN | ipb/udp_if/tx_main/udp_build_data.int_data_int[7]_i_1_n_0 | | 4 | 8 | 2.00 | | CLKFBIN | ipb/udp_if/tx_main/udp_build_data.udpram_end_addr_int[7]_i_2_n_0 | ipb/udp_if/tx_main/udp_build_data.udpram_end_addr_int[7]_i_1_n_0 | 1 | 8 | 8.00 | | CLK | SFP_GEN[13].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__133_n_0 | SFP_GEN[47].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[67][20]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__446_n_0 | SFP_GEN[20].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 2 | 8 | 4.00 | | CLKFBIN | ipb/udp_if/tx_main/udp_send_data.special_int[7]_i_2_n_0 | ipb/udp_if/tx_main/udp_send_data.special_int[7]_i_1_n_0 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[62][4]_0 | 2 | 8 | 4.00 | | CLKFBIN | ipb/udp_if/tx_main/ip_cksum_int[7] | | 5 | 8 | 1.60 | | CLK | SFP_GEN[13].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLKFBIN | ipb/udp_if/tx_main/ip_cksum_int[15] | | 5 | 8 | 1.60 | | CLKFBIN | ipb/udp_if/tx_main/ip_len_int[7] | | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[40][3]_0 | 2 | 8 | 4.00 | | CLKFBIN | ipb/udp_if/tx_main/ip_len_int[15] | | 5 | 8 | 1.60 | | CLK | SFP_GEN[13].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLKFBIN | ipb/udp_if/tx_main/pay_len[3] | | 4 | 8 | 2.00 | | CLK | SFP_GEN[13].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLKFBIN | ipb/udp_if/tx_main/udp_len_int[7] | | 4 | 8 | 2.00 | | CLKFBIN | ipb/udp_if/tx_main/udp_len_int[15] | | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[50][2]_0 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__132_n_0 | SFP_GEN[47].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[67][17]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[13].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__85_n_0 | SFP_GEN[30].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[46][2]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__243_n_0 | SFP_GEN[37].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 2 | 8 | 4.00 | | CLK | SFP_GEN[19].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | i_I2C_if/I2C_array[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[8][24]_0[0] | 1 | 8 | 8.00 | | CLK | SFP_GEN[13].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | i_I2C_if/I2C_array[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_1_n_0 | ctrl_regs_inst/regs_reg[8][24]_0[0] | 3 | 8 | 2.67 | | CLK | i_I2C_if/I2C_array[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[8][24]_0[3] | 2 | 8 | 4.00 | | CLK | i_I2C_if/I2C_array[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[8][24]_0[1] | 1 | 8 | 8.00 | | CLK | SFP_GEN[13].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | i_I2C_if/I2C_array[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_1__0_n_0 | ctrl_regs_inst/regs_reg[8][24]_0[1] | 3 | 8 | 2.67 | | CLK | SFP_GEN[13].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | i_I2C_if/I2C_array[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[8][24]_0[2] | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[67][18]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__28_n_0 | SFP_GEN[15].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__142_n_0 | SFP_GEN[47].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__131_n_0 | SFP_GEN[47].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 2 | 8 | 4.00 | | CLK | i_I2C_if/I2C_array[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_1__1_n_0 | ctrl_regs_inst/regs_reg[8][24]_0[2] | 3 | 8 | 2.67 | | CLK | SFP_GEN[13].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__445_n_0 | SFP_GEN[20].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 2 | 8 | 4.00 | | CLK | i_I2C_if/I2C_array[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_1__2_n_0 | ctrl_regs_inst/regs_reg[8][24]_0[3] | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[67][16]_1 | 2 | 8 | 4.00 | | CLK | i_I2C_if/I2C_array[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[8][24]_0[4] | 2 | 8 | 4.00 | | CLK | i_I2C_if/I2C_array[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_1__3_n_0 | ctrl_regs_inst/regs_reg[8][24]_0[4] | 4 | 8 | 2.00 | | CLK | i_I2C_if/I2C_array[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[8][24]_0[5] | 1 | 8 | 8.00 | | CLK | SFP_GEN[13].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | i_I2C_if/I2C_array[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_1__4_n_0 | ctrl_regs_inst/regs_reg[8][24]_0[5] | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[35][22]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__29_n_0 | SFP_GEN[15].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[40][2]_0 | 2 | 8 | 4.00 | | CLK | i_I2C_if/I2C_array[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[8][24]_0[6] | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[35][23]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[13].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[67][27]_0 | 2 | 8 | 4.00 | | CLK | i_I2C_if/I2C_array[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_1__5_n_0 | ctrl_regs_inst/regs_reg[8][24]_0[6] | 2 | 8 | 4.00 | | CLK | i_I2C_if/I2C_array[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[8][24]_0[7] | 1 | 8 | 8.00 | | CLK | i_I2C_if/I2C_array[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_1__6_n_0 | ctrl_regs_inst/regs_reg[8][24]_0[7] | 4 | 8 | 2.00 | | CLK | i_I2C_if/I2C_array[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[8][24]_0[8] | 2 | 8 | 4.00 | | CLK | i_I2C_if/I2C_array[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_1__7_n_0 | ctrl_regs_inst/regs_reg[8][24]_0[8] | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__97_n_0 | SFP_GEN[35].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[55][18]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[57][19]_1 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__242_n_0 | SFP_GEN[37].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 2 | 8 | 4.00 | | CLK | SFP_GEN[19].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[19].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__336_n_0 | SFP_GEN[26].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[57][20]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__141_n_0 | SFP_GEN[47].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[67][26]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__98_n_0 | SFP_GEN[35].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__245_n_0 | SFP_GEN[37].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__30_n_0 | SFP_GEN[15].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[46][1]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[55][19]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[62][5]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__110_n_0 | SFP_GEN[42].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[38][2]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[19].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[57][21]_0 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__244_n_0 | SFP_GEN[37].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[62][3]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[35][24]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__457_n_0 | SFP_GEN[18].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[57][22]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[19].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 6 | 8 | 1.33 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__346_n_0 | SFP_GEN[26].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[46][11]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__99_n_0 | SFP_GEN[35].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__345_n_0 | SFP_GEN[26].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__31_n_0 | SFP_GEN[15].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[46][10]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[35][25]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[55][20]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[29].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__109_n_0 | SFP_GEN[42].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__335_n_0 | SFP_GEN[26].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[46][0]_1 | 1 | 8 | 8.00 | | CLK | SFP_GEN[19].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[57][18]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[50][9]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__92_n_0 | SFP_GEN[30].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 2 | 8 | 4.00 | | CLK | SFP_GEN[2].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[62][2]_0 | 1 | 8 | 8.00 | | CLK | SFP_GEN[19].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[19].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[29].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__108_n_0 | SFP_GEN[42].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__68_n_0 | SFP_GEN[25].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[45][25]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__67_n_0 | SFP_GEN[25].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[45][24]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__66_n_0 | SFP_GEN[25].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__241_n_0 | SFP_GEN[37].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__240_n_0 | SFP_GEN[37].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[45][23]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[57][17]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__65_n_0 | SFP_GEN[25].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[45][22]_0 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__64_n_0 | SFP_GEN[25].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[45][21]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__250_n_0 | SFP_GEN[37].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__101_n_0 | SFP_GEN[35].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 2 | 8 | 4.00 | | CLK | SFP_GEN[37].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__63_n_0 | SFP_GEN[25].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 1 | 8 | 8.00 | | CLK | SFP_GEN[37].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[55][22]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__179_n_0 | SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[29][16]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[37].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[45][20]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__62_n_0 | SFP_GEN[25].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 2 | 8 | 4.00 | | CLK | SFP_GEN[37].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__32_n_0 | SFP_GEN[15].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__102_n_0 | SFP_GEN[35].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 2 | 8 | 4.00 | | CLK | SFP_GEN[37].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[45][19]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[37].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[15].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[55][23]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[15].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[37].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__61_n_0 | SFP_GEN[25].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[45][18]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[37].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__60_n_0 | SFP_GEN[25].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[45][17]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[37].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__70_n_0 | SFP_GEN[25].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 2 | 8 | 4.00 | | CLK | SFP_GEN[37].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[45][27]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__189_n_0 | SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 2 | 8 | 4.00 | | CLK | SFP_GEN[15].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[37].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[29][26]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__69_n_0 | SFP_GEN[25].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 1 | 8 | 8.00 | | CLK | SFP_GEN[37].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[45][26]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[16].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 6 | 8 | 1.33 | | CLK | SFP_GEN[37].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__59_n_0 | SFP_GEN[25].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 1 | 8 | 8.00 | | CLK | SFP_GEN[16].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[45][16]_1 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__512_n_0 | SFP_GEN[24].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 2 | 8 | 4.00 | | CLK | SFP_GEN[16].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[44][9]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[44][8]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__511_n_0 | SFP_GEN[24].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__510_n_0 | SFP_GEN[24].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 1 | 8 | 8.00 | | CLK | SFP_GEN[15].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[2].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__190_n_0 | SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__103_n_0 | SFP_GEN[35].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[29][27]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[44][7]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[15].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 6 | 8 | 1.33 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[55][24]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__118_n_0 | SFP_GEN[42].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 2 | 8 | 4.00 | | CLK | SFP_GEN[19].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | CLK | SFP_GEN[28].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 6 | 8 | 1.33 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[62][11]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[15].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[51][16]_1 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__458_n_0 | SFP_GEN[18].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__311_n_0 | SFP_GEN[31].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 2 | 8 | 4.00 | | CLK | ipb/trans/sm/ipb_out[ipb_strobe] | ipb/trans/sm/timer0 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__509_n_0 | SFP_GEN[24].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[29][18]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[36][0]_0 | 2 | 8 | 4.00 | | CLK | ipb/trans/sm/words_done0 | ipb/trans/sm/FSM_onehot_state_reg[4]_0[0] | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__180_n_0 | SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[44][6]_0 | 2 | 8 | 4.00 | | CLK | ipb/trans/sm/words_todo[7]_i_1_n_0 | | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[29][17]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__35_n_0 | SFP_GEN[16].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[19].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[29][19]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__104_n_0 | SFP_GEN[35].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 2 | 8 | 4.00 | | CLK | SFP_GEN[44].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[19].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[51][26]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[55][25]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__321_n_0 | SFP_GEN[31].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 3 | 8 | 2.67 | | CLK | SFP_GEN[23].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[28].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[33][26]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[51][27]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__322_n_0 | SFP_GEN[31].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 2 | 8 | 4.00 | | CLK | SFP_GEN[23].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[23].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | CLK | SFP_GEN[19].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__181_n_0 | SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__117_n_0 | SFP_GEN[42].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__100_n_0 | SFP_GEN[35].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[32][11]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[55][21]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[38][5]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[23].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[62][1]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[51][17]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[41].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[23].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__312_n_0 | SFP_GEN[31].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 2 | 8 | 4.00 | | CLK | SFP_GEN[28].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[29].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__182_n_0 | SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[29][20]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[51][18]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[29][21]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[23].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__107_n_0 | SFP_GEN[42].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__313_n_0 | SFP_GEN[31].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[62][0]_1 | 2 | 8 | 4.00 | | CLK | SFP_GEN[23].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[62][10]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[30][11]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[51][19]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[36][10]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__298_n_0 | SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 2 | 8 | 4.00 | | CLK | SFP_GEN[23].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__314_n_0 | SFP_GEN[31].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__407_n_0 | SFP_GEN[36].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[56][0]_1 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__45_n_0 | SFP_GEN[16].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[32][5]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__183_n_0 | SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[29][22]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[23].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__200_n_0 | SFP_GEN[41].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[61][25]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[51][20]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[23].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__315_n_0 | SFP_GEN[31].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__199_n_0 | SFP_GEN[41].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[30][1]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[23].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__8_n_0 | SFP_GEN[13].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__288_n_0 | SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[61][24]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[51][21]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__417_n_0 | SFP_GEN[36].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__184_n_0 | SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[33][25]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[29][23]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[56][10]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[23].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[29].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[23].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[51][22]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__317_n_0 | SFP_GEN[31].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[38][4]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__198_n_0 | SFP_GEN[41].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[51][23]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__318_n_0 | SFP_GEN[31].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__527_n_0 | SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[41].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[61][23]_0 | 3 | 8 | 2.67 | | CLK | SFP_GEN[31].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[30][2]_0 | 3 | 8 | 2.67 | | CLK | SFP_GEN[31].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__289_n_0 | SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 1 | 8 | 8.00 | | CLK | SFP_GEN[31].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[32][4]_0 | 3 | 8 | 2.67 | | CLK | SFP_GEN[31].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[31].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[31].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__459_n_0 | SFP_GEN[18].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 1 | 8 | 8.00 | | CLK | SFP_GEN[31].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[51][24]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[31].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[16].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[38].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__185_n_0 | SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__7_n_0 | SFP_GEN[13].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 2 | 8 | 4.00 | | CLK | SFP_GEN[38].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[30][3]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__418_n_0 | SFP_GEN[36].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 3 | 8 | 2.67 | | CLK | SFP_GEN[31].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[38].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[16].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[38].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__290_n_0 | SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 1 | 8 | 8.00 | | CLK | SFP_GEN[31].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[38].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[31].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[56][11]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[38].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[16].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[31].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[38].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[31].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[38].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[16].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__319_n_0 | SFP_GEN[31].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__197_n_0 | SFP_GEN[41].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 2 | 8 | 4.00 | | CLK | SFP_GEN[38].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[16].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[16].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[38].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[29][24]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[51][25]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[38].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[16].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[38].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[16].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__320_n_0 | SFP_GEN[31].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__42_n_0 | SFP_GEN[16].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 3 | 8 | 2.67 | | CLK | SFP_GEN[38].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[33][24]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[16].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__408_n_0 | SFP_GEN[36].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[61][22]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[38][7]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__460_n_0 | SFP_GEN[18].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[30][4]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[29].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__196_n_0 | SFP_GEN[41].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 1 | 8 | 8.00 | | CLK | SFP_GEN[16].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__186_n_0 | SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__188_n_0 | SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__291_n_0 | SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__531_n_0 | SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[29][25]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[56][1]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[28].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[59][23]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[61][21]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__195_n_0 | SFP_GEN[41].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[36][11]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[32][9]_0 | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__46_n_0 | SFP_GEN[16].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__6_n_0 | SFP_GEN[13].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[52][0]_1 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[33][23]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__395_n_0 | SFP_GEN[32].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__187_n_0 | SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[61][20]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[30][5]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__233_n_0 | SFP_GEN[38].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[38][6]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[52][10]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__292_n_0 | SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__461_n_0 | SFP_GEN[18].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[52][1]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__409_n_0 | SFP_GEN[36].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[36][1]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__405_n_0 | SFP_GEN[32].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[56][2]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__36_n_0 | SFP_GEN[16].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__194_n_0 | SFP_GEN[41].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[52][11]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__38_n_0 | SFP_GEN[16].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__406_n_0 | SFP_GEN[32].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 2 | 8 | 4.00 | | CLK | SFP_GEN[28].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 6 | 8 | 1.33 | | CLK | SFP_GEN[41].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__5_n_0 | SFP_GEN[13].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[33][22]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[61][19]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__396_n_0 | SFP_GEN[32].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__462_n_0 | SFP_GEN[18].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__193_n_0 | SFP_GEN[41].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__410_n_0 | SFP_GEN[36].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[52][2]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[30][6]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__397_n_0 | SFP_GEN[32].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[56][3]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__293_n_0 | SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[61][18]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[52][3]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__398_n_0 | SFP_GEN[32].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[36][2]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__411_n_0 | SFP_GEN[36].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[56][4]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__528_n_0 | SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[38][8]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[2].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__463_n_0 | SFP_GEN[18].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[52][4]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[30][7]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__37_n_0 | SFP_GEN[16].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__294_n_0 | SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[32][6]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__412_n_0 | SFP_GEN[36].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__399_n_0 | SFP_GEN[32].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__400_n_0 | SFP_GEN[32].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[56][5]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__192_n_0 | SFP_GEN[41].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[61][17]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[30][8]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__295_n_0 | SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[52][5]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[36][3]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__202_n_0 | SFP_GEN[41].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[61][27]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[27].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[40][4]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[52][6]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__401_n_0 | SFP_GEN[32].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__413_n_0 | SFP_GEN[36].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[38][9]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[56][6]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[27].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[27].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[30][9]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__464_n_0 | SFP_GEN[18].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__447_n_0 | SFP_GEN[20].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[52][7]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__296_n_0 | SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__451_n_0 | SFP_GEN[20].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__414_n_0 | SFP_GEN[36].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__402_n_0 | SFP_GEN[32].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__404_n_0 | SFP_GEN[32].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__4_n_0 | SFP_GEN[13].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__201_n_0 | SFP_GEN[41].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 6 | 8 | 1.33 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[56][7]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[32][0]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[61][26]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[40][5]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[27].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[52][8]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[33][21]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__403_n_0 | SFP_GEN[32].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__448_n_0 | SFP_GEN[20].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 2 | 8 | 4.00 | | CLK | SFP_GEN[27].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[40][9]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__415_n_0 | SFP_GEN[36].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__452_n_0 | SFP_GEN[20].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 1 | 8 | 8.00 | | CLK | SFP_GEN[27].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[30].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__191_n_0 | SFP_GEN[41].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[30].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[30].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[27].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[30].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[56][8]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[17].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | CLK | SFP_GEN[30].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[17].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[30].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[3].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[28].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[27].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[3].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[30].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[3].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[30].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[61][16]_1 | 2 | 8 | 4.00 | | CLK | SFP_GEN[17].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[3].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[52][9]_0 | 3 | 8 | 2.67 | | CLK | SFP_GEN[17].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[3].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[17].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[3].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | CLK | SFP_GEN[17].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[17].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__416_n_0 | SFP_GEN[36].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 1 | 8 | 8.00 | | CLK | SFP_GEN[3].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__164_n_0 | SFP_GEN[40].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 2 | 8 | 4.00 | | CLK | SFP_GEN[17].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | CLK | SFP_GEN[3].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[60][9]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[56][9]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[3].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[17].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[17].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[3].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__163_n_0 | SFP_GEN[40].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 3 | 8 | 2.67 | | CLK | SFP_GEN[30].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[3].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__534_n_0 | SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 3 | 8 | 2.67 | | CLK | SFP_GEN[30].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[3].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[60][8]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[17].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[3].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[36][4]_0 | 3 | 8 | 2.67 | | CLK | SFP_GEN[30].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | CLK | SFP_GEN[30].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[17].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__162_n_0 | SFP_GEN[40].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 2 | 8 | 4.00 | | CLK | SFP_GEN[17].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__444_n_0 | SFP_GEN[20].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__39_n_0 | SFP_GEN[16].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[40][1]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[60][7]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__161_n_0 | SFP_GEN[40].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[53][16]_1 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__215_n_0 | SFP_GEN[33].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__454_n_0 | SFP_GEN[20].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[60][6]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__160_n_0 | SFP_GEN[40].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[40][11]_0 | 3 | 8 | 2.67 | | CLK | SFP_GEN[30].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__392_n_0 | SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[21][25]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[53][26]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__225_n_0 | SFP_GEN[33].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__391_n_0 | SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[21][24]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[22].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[60][5]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[27].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__159_n_0 | SFP_GEN[40].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 2 | 8 | 4.00 | | CLK | SFP_GEN[22].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[18].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[53][27]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[18].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | CLK | SFP_GEN[18].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[36][5]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[18].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__390_n_0 | SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 2 | 8 | 4.00 | | CLK | SFP_GEN[18].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[22].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[21][23]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[18].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[18].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__40_n_0 | SFP_GEN[16].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__226_n_0 | SFP_GEN[33].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 1 | 8 | 8.00 | | CLK | SFP_GEN[18].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[22].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[60][4]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[18].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[18].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[18].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[18].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[22].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__389_n_0 | SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 2 | 8 | 4.00 | | CLK | SFP_GEN[22].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 6 | 8 | 1.33 | | CLK | SFP_GEN[8].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | CLK | SFP_GEN[18].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[21][22]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__158_n_0 | SFP_GEN[40].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 2 | 8 | 4.00 | | CLK | SFP_GEN[8].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[53][17]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__216_n_0 | SFP_GEN[33].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__388_n_0 | SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 2 | 8 | 4.00 | | CLK | SFP_GEN[8].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[22].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 6 | 8 | 1.33 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[21][21]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[8].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[60][3]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[27].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__157_n_0 | SFP_GEN[40].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[53][18]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[8].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__387_n_0 | SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 2 | 8 | 4.00 | | CLK | SFP_GEN[22].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[21][20]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[27].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__217_n_0 | SFP_GEN[33].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__3_n_0 | SFP_GEN[13].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 4 | 8 | 2.00 | | CLK | SFP_GEN[8].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 6 | 8 | 1.33 | | CLK | SFP_GEN[8].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[60][2]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[31][16]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[28].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[53][19]_1 | 2 | 8 | 4.00 | | CLK | SFP_GEN[8].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 6 | 8 | 1.33 | | CLK | SFP_GEN[22].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__386_n_0 | SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 2 | 8 | 4.00 | | CLK | SFP_GEN[8].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[21][19]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__218_n_0 | SFP_GEN[33].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__156_n_0 | SFP_GEN[40].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__385_n_0 | SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 1 | 8 | 8.00 | | CLK | SFP_GEN[22].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[21][18]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[8].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[8].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[60][1]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[53][20]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__384_n_0 | SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 2 | 8 | 4.00 | | CLK | SFP_GEN[8].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[36][6]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[21][17]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__219_n_0 | SFP_GEN[33].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__166_n_0 | SFP_GEN[40].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 1 | 8 | 8.00 | | CLK | SFP_GEN[22].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__394_n_0 | SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[21][27]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[60][11]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[53][21]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__393_n_0 | SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__419_n_0 | SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[21][26]_0 | 3 | 8 | 2.67 | | CLK | SFP_GEN[1].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__41_n_0 | SFP_GEN[16].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__440_n_0 | SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[28][9]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[22].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[1].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__220_n_0 | SFP_GEN[33].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 2 | 8 | 4.00 | | CLK | SFP_GEN[28].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__165_n_0 | SFP_GEN[40].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__439_n_0 | SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[53][22]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__221_n_0 | SFP_GEN[33].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[60][10]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[27].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__438_n_0 | SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[28][7]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[1].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[31][22]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[53][23]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__222_n_0 | SFP_GEN[33].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__437_n_0 | SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 2 | 8 | 4.00 | | CLK | SFP_GEN[39].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[28][8]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[1].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | CLK | SFP_GEN[39].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__155_n_0 | SFP_GEN[40].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[60][0]_1 | 2 | 8 | 4.00 | | CLK | SFP_GEN[39].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[1].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[33][20]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[39].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__436_n_0 | SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[28][5]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[39].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[53][24]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__223_n_0 | SFP_GEN[33].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 2 | 8 | 4.00 | | CLK | SFP_GEN[39].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[39].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | CLK | SFP_GEN[1].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[39].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__383_n_0 | SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[28][6]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[1].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[22].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__435_n_0 | SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 2 | 8 | 4.00 | | CLK | SFP_GEN[39].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__476_n_0 | SFP_GEN[39].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[59][25]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[39].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 6 | 8 | 1.33 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[21][16]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__425_n_0 | SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 1 | 8 | 8.00 | | CLK | SFP_GEN[39].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__434_n_0 | SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[28][3]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[39].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[53][25]_0 | 4 | 8 | 2.00 | | CLK | SFP_GEN[1].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[39].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__224_n_0 | SFP_GEN[33].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 3 | 8 | 2.67 | | CLK | SFP_GEN[27].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[28][4]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[1].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__433_n_0 | SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[59][24]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__532_n_0 | SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__474_n_0 | SFP_GEN[39].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__432_n_0 | SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[28][1]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[1].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__475_n_0 | SFP_GEN[39].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[59][22]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[1].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__442_n_0 | SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[28][2]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__473_n_0 | SFP_GEN[39].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__472_n_0 | SFP_GEN[39].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[59][21]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[1].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[28][11]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__441_n_0 | SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[28][10]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[54][0]_1 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__299_n_0 | SFP_GEN[34].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[31][26]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__431_n_0 | SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[28][0]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__471_n_0 | SFP_GEN[39].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__429_n_0 | SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[54][10]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__212_n_0 | SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[27][25]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__309_n_0 | SFP_GEN[34].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[59][20]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__470_n_0 | SFP_GEN[39].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__211_n_0 | SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[36][7]_0 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[27][24]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[54][11]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__310_n_0 | SFP_GEN[34].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[32][7]_0 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[59][19]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__469_n_0 | SFP_GEN[39].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__210_n_0 | SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[27][23]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[54][1]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__209_n_0 | SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[27][22]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__300_n_0 | SFP_GEN[34].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[36][8]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__468_n_0 | SFP_GEN[39].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[54][2]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__301_n_0 | SFP_GEN[34].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__43_n_0 | SFP_GEN[16].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__208_n_0 | SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[27][21]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[59][17]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__207_n_0 | SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__478_n_0 | SFP_GEN[39].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[27][20]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[54][3]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__302_n_0 | SFP_GEN[34].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[59][18]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[59][27]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__206_n_0 | SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[27][19]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[31][23]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__477_n_0 | SFP_GEN[39].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[36][9]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__205_n_0 | SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[27][18]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[54][4]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__44_n_0 | SFP_GEN[16].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__303_n_0 | SFP_GEN[34].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[59][26]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__467_n_0 | SFP_GEN[39].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[40][6]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[54][5]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__449_n_0 | SFP_GEN[20].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__204_n_0 | SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[27][17]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__304_n_0 | SFP_GEN[34].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[59][16]_1 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__214_n_0 | SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[27][27]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__428_n_0 | SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2_n_0 | SFP_GEN[13].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__213_n_0 | SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[27][26]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__499_n_0 | SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[23][24]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[23][25]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__500_n_0 | SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[40][7]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__203_n_0 | SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[27][16]_0 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__426_n_0 | SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__498_n_0 | SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[23][23]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[31][25]_0 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__356_n_0 | SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__450_n_0 | SFP_GEN[20].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[26][9]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[33][16]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__497_n_0 | SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__2_n_0 | SFP_GEN[13].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__355_n_0 | SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[40][8]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[7].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[26][8]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[7].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[23][22]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[57][23]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[33][19]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__354_n_0 | SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[26][7]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__246_n_0 | SFP_GEN[37].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__496_n_0 | SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__353_n_0 | SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[26][6]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[57][24]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__247_n_0 | SFP_GEN[37].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__352_n_0 | SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[26][5]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[23][21]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__495_n_0 | SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__351_n_0 | SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[26][4]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[23][20]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[57][25]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[40].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[31][27]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__350_n_0 | SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 1 | 8 | 8.00 | | CLK | SFP_GEN[40].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[7].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[26][3]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[40].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[7].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__248_n_0 | SFP_GEN[37].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 2 | 8 | 4.00 | | CLK | SFP_GEN[40].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__494_n_0 | SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 2 | 8 | 4.00 | | CLK | SFP_GEN[7].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__430_n_0 | SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 3 | 8 | 2.67 | | CLK | SFP_GEN[40].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__349_n_0 | SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 2 | 8 | 4.00 | | CLK | SFP_GEN[40].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[26][2]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[23][19]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[40].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[7].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__493_n_0 | SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 4 | 8 | 2.00 | | CLK | SFP_GEN[40].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__348_n_0 | SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[26][1]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[40].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[23][18]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__492_n_0 | SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 1 | 8 | 8.00 | | CLK | SFP_GEN[40].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[7].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[23][17]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[40].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[7].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__358_n_0 | SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 2 | 8 | 4.00 | | CLK | SFP_GEN[40].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[26][11]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[58][0]_1 | 2 | 8 | 4.00 | | CLK | SFP_GEN[40].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__227_n_0 | SFP_GEN[38].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__502_n_0 | SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__357_n_0 | SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 3 | 8 | 2.67 | | CLK | SFP_GEN[7].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[26][10]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[23][27]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[58][10]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[7].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__237_n_0 | SFP_GEN[38].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__347_n_0 | SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[7].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[26][0]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__427_n_0 | SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__501_n_0 | SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[58][11]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[25][25]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[7].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__238_n_0 | SFP_GEN[38].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 2 | 8 | 4.00 | | CLK | SFP_GEN[7].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[23][26]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__491_n_0 | SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__176_n_0 | SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__175_n_0 | SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[25][24]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[58][1]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__228_n_0 | SFP_GEN[38].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[31][17]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__174_n_0 | SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[25][23]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[31][24]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[23][16]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__173_n_0 | SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[25][22]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[58][2]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__229_n_0 | SFP_GEN[38].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__172_n_0 | SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__236_n_0 | SFP_GEN[38].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[58][9]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__1_n_0 | SFP_GEN[13].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__171_n_0 | SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[25][20]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[58][3]_1 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__230_n_0 | SFP_GEN[38].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__170_n_0 | SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[25][21]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[25][19]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__235_n_0 | SFP_GEN[38].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[58][4]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__420_n_0 | SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__169_n_0 | SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[25][18]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__231_n_0 | SFP_GEN[38].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[58][8]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__234_n_0 | SFP_GEN[38].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__168_n_0 | SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[58][5]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__232_n_0 | SFP_GEN[38].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[58][7]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[4].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__178_n_0 | SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[25][27]_0 | 2 | 8 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].patternSearch/gbtBank_Clk_gen[10].cnt_reg[10][7][0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 2 | 8 | 4.00 | | CLK | SFP_GEN[4].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[33][18]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[21].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[37][16]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__177_n_0 | SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 2 | 8 | 4.00 | | CLK | SFP_GEN[21].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[25][17]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[4].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[4].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__167_n_0 | SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[25][16]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[4].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 6 | 8 | 1.33 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__52_n_0 | SFP_GEN[19].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[25][26]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[21].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__380_n_0 | SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__275_n_0 | SFP_GEN[17].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[21].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[24][9]_0 | 2 | 8 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].patternSearch/gbtBank_Clk_gen[9].cnt_reg[9][7][0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__505_n_0 | SFP_GEN[24].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 2 | 8 | 4.00 | | CLK | SFP_GEN[21].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[11].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__379_n_0 | SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[24][8]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__378_n_0 | SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[44][4]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[24][7]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[11].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | CLK | SFP_GEN[21].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[4].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[4].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[21].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].patternSearch/gbtBank_Clk_gen[8].cnt_reg[8][7][0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__377_n_0 | SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 2 | 8 | 4.00 | | CLK | SFP_GEN[4].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[24][6]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[21].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__12_n_0 | SFP_GEN[14].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__376_n_0 | SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[24][5]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[39][22]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[21].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[4].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[4].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[37][26]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[4].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__375_n_0 | SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 2 | 8 | 4.00 | | CLK | SFP_GEN[21].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[34][1]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[24][4]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__374_n_0 | SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[24][3]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__285_n_0 | SFP_GEN[17].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 2 | 8 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].patternSearch/gbtBank_Clk_gen[7].cnt_reg[7][7][0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[44][2]_0 | 2 | 8 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].patternSearch/gbtBank_Clk_gen[6].cnt_reg[6][7][0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__373_n_0 | SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 1 | 8 | 8.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].patternSearch/gbtBank_Clk_gen[5].cnt_reg[5][7][0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[24][2]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[39][20]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__372_n_0 | SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[24][1]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__51_n_0 | SFP_GEN[19].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 1 | 8 | 8.00 | | CLK | SFP_GEN[19].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[15].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].patternSearch/gbtBank_Clk_gen[4].cnt_reg[4][7][0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__382_n_0 | SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 2 | 8 | 4.00 | | CLK | SFP_GEN[41].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[24][11]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[41].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__381_n_0 | SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 1 | 8 | 8.00 | | CLK | SFP_GEN[21].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[41].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[24][10]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__504_n_0 | SFP_GEN[24].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 2 | 8 | 4.00 | | CLK | SFP_GEN[15].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[41].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[21].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[15].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[41].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__371_n_0 | SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[44][1]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[41].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[24][0]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[15].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[41].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[41].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 6 | 8 | 1.33 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[31][18]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[32].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[15].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[41].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__421_n_0 | SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__572_n_0 | SFP_GEN[46].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 2 | 8 | 4.00 | | CLK | SFP_GEN[41].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[66][9]_0 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[39][21]_0 | 2 | 8 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].patternSearch/gbtBank_Clk_gen[3].cnt_reg[3][7][0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__571_n_0 | SFP_GEN[46].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[66][8]_0 | 2 | 8 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].patternSearch/gbtBank_Clk_gen[2].cnt_reg[2][7][0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__514_n_0 | SFP_GEN[24].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 1 | 8 | 8.00 | | CLK | SFP_GEN[21].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__570_n_0 | SFP_GEN[46].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[66][7]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[44][11]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__513_n_0 | SFP_GEN[24].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 2 | 8 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].patternSearch/gbtBank_Clk_gen[1].cnt_reg[1][7][0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[44][10]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__569_n_0 | SFP_GEN[46].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[66][6]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[14].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__503_n_0 | SFP_GEN[24].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__568_n_0 | SFP_GEN[46].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[66][5]_0 | 2 | 8 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].patternSearch/E[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/AR[0] | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[44][0]_1 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__0_n_0 | SFP_GEN[13].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__567_n_0 | SFP_GEN[46].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[66][4]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[39][18]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__49_n_0 | SFP_GEN[19].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[33][17]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__566_n_0 | SFP_GEN[46].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[66][3]_1 | 2 | 8 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].patternSearch/gbtBank_Clk_gen[11].cnt_reg[11][7][0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__272_n_0 | SFP_GEN[23].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[43][25]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__565_n_0 | SFP_GEN[46].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[66][2]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__271_n_0 | SFP_GEN[23].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[43][24]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__564_n_0 | SFP_GEN[46].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 2 | 8 | 4.00 | | CLK | SFP_GEN[6].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[66][1]_0 | 2 | 8 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].patternSearch/gbtBank_Clk_gen[11].cnt_reg[11][7][0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__535_n_0 | SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 1 | 8 | 8.00 | | CLK | SFP_GEN[6].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[31][19]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__574_n_0 | SFP_GEN[46].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[66][11]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[58][6]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[1].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[26].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__270_n_0 | SFP_GEN[23].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 2 | 8 | 4.00 | | CLK | SFP_GEN[6].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__573_n_0 | SFP_GEN[46].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[66][10]_0 | 2 | 8 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].patternSearch/gbtBank_Clk_gen[10].cnt_reg[10][7][0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[43][23]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[6].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__422_n_0 | SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__563_n_0 | SFP_GEN[46].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[6].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[66][0]_1 | 2 | 8 | 4.00 | | CLK | SFP_GEN[6].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[37][27]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__286_n_0 | SFP_GEN[17].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__22_n_0 | SFP_GEN[14].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 2 | 8 | 4.00 | | CLK | SFP_GEN[14].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[14].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[6].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[65][25]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__269_n_0 | SFP_GEN[23].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 1 | 8 | 8.00 | | CLK | SFP_GEN[14].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[6].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__151_n_0 | SFP_GEN[45].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[65][24]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[43][22]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[14].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].patternSearch/gbtBank_Clk_gen[9].cnt_reg[9][7][0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 8 | 2.67 | | CLK | SFP_GEN[6].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[14].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__152_n_0 | SFP_GEN[45].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 1 | 8 | 8.00 | | CLK | SFP_GEN[6].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__150_n_0 | SFP_GEN[45].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[65][23]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[26].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | CLK | SFP_GEN[14].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[6].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[39][19]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[14].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__149_n_0 | SFP_GEN[45].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 2 | 8 | 4.00 | | CLK | SFP_GEN[14].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[37][17]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[65][22]_0 | 2 | 8 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].patternSearch/gbtBank_Clk_gen[8].cnt_reg[8][7][0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 8 | 2.67 | | CLK | SFP_GEN[14].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__276_n_0 | SFP_GEN[17].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__50_n_0 | SFP_GEN[19].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[65][21]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[14].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[32][8]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__148_n_0 | SFP_GEN[45].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__147_n_0 | SFP_GEN[45].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[65][20]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__268_n_0 | SFP_GEN[23].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 2 | 8 | 4.00 | | CLK | SFP_GEN[14].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[34][11]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__146_n_0 | SFP_GEN[45].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[65][19]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[14].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].patternSearch/gbtBank_Clk_gen[7].cnt_reg[7][7][0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 2 | 8 | 4.00 | | CLK | SFP_GEN[26].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__145_n_0 | SFP_GEN[45].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[65][18]_0 | 2 | 8 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].patternSearch/gbtBank_Clk_gen[6].cnt_reg[6][7][0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 2 | 8 | 4.00 | | CLK | SFP_GEN[32].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[45].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__144_n_0 | SFP_GEN[45].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[65][17]_0 | 2 | 8 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].patternSearch/gbtBank_Clk_gen[5].cnt_reg[5][7][0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[43][21]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__10_n_0 | SFP_GEN[13].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__154_n_0 | SFP_GEN[45].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[65][27]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[45].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[32].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__153_n_0 | SFP_GEN[45].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[65][26]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[26].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[45].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[33][27]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__143_n_0 | SFP_GEN[45].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[65][16]_1 | 2 | 8 | 4.00 | | CLK | SFP_GEN[45].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[42].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[45].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].patternSearch/gbtBank_Clk_gen[4].cnt_reg[4][7][0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 1 | 8 | 8.00 | | CLK | SFP_GEN[42].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__560_n_0 | SFP_GEN[44].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[64][9]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[42].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[37][18]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[32].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[42].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[45].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__559_n_0 | SFP_GEN[44].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 2 | 8 | 4.00 | | CLK | SFP_GEN[42].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[64][8]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__558_n_0 | SFP_GEN[44].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 4 | 8 | 2.00 | | CLK | SFP_GEN[42].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[64][7]_0 | 4 | 8 | 2.00 | | CLK | SFP_GEN[45].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | CLK | SFP_GEN[42].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__277_n_0 | SFP_GEN[17].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 2 | 8 | 4.00 | | CLK | SFP_GEN[32].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[42].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | CLK | SFP_GEN[45].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[31][20]_0 | 3 | 8 | 2.67 | | CLK | SFP_GEN[42].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[45].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__557_n_0 | SFP_GEN[44].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 4 | 8 | 2.00 | | CLK | SFP_GEN[42].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[64][6]_0 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__423_n_0 | SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 2 | 8 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].patternSearch/gbtBank_Clk_gen[3].cnt_reg[3][7][0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__556_n_0 | SFP_GEN[44].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 2 | 8 | 4.00 | | CLK | SFP_GEN[26].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[64][5]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[5].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | CLK | SFP_GEN[5].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[45].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__555_n_0 | SFP_GEN[44].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[32][1]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[5].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[37][19]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[64][4]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[45].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__278_n_0 | SFP_GEN[17].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 3 | 8 | 2.67 | | CLK | SFP_GEN[5].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__554_n_0 | SFP_GEN[44].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[48][0]_1 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__71_n_0 | SFP_GEN[28].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[8].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[64][3]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[5].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[45].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[32].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[45].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[48][10]_0 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__81_n_0 | SFP_GEN[28].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 5 | 8 | 1.60 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].patternSearch/gbtBank_Clk_gen[2].cnt_reg[2][7][0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 2 | 8 | 4.00 | | CLK | SFP_GEN[28].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[37][20]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[32].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].patternSearch/gbtBank_Clk_gen[1].cnt_reg[1][7][0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__279_n_0 | SFP_GEN[17].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[48][11]_0 | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__82_n_0 | SFP_GEN[28].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 5 | 8 | 1.60 | | CLK | SFP_GEN[32].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__508_n_0 | SFP_GEN[24].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__553_n_0 | SFP_GEN[44].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__267_n_0 | SFP_GEN[23].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 2 | 8 | 4.00 | | CLK | SFP_GEN[26].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | CLK | SFP_GEN[43].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[48][1]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__72_n_0 | SFP_GEN[28].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 2 | 8 | 4.00 | | CLK | SFP_GEN[5].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[2].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | CLK | SFP_GEN[43].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[5].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[43][20]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[37][21]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[48][2]_0 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__73_n_0 | SFP_GEN[28].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 2 | 8 | 4.00 | | CLK | SFP_GEN[43].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__280_n_0 | SFP_GEN[17].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 2 | 8 | 4.00 | | CLK | SFP_GEN[32].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[64][2]_0 | 2 | 8 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].patternSearch/E[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/AR[0] | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[44][5]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[48][3]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__74_n_0 | SFP_GEN[28].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 2 | 8 | 4.00 | | CLK | SFP_GEN[43].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__552_n_0 | SFP_GEN[44].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 3 | 8 | 2.67 | | CLK | SFP_GEN[5].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[64][1]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[43].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | CLK | SFP_GEN[43].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[48][4]_0 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__75_n_0 | SFP_GEN[28].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[37][22]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[32].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[43].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | CLK | SFP_GEN[5].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].patternSearch/gbtBank_Clk_gen[11].cnt_reg[11][7][0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 2 | 8 | 4.00 | | CLK | SFP_GEN[43].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 6 | 8 | 1.33 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[48][5]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__76_n_0 | SFP_GEN[28].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__281_n_0 | SFP_GEN[17].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 2 | 8 | 4.00 | | CLK | SFP_GEN[2].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[5].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | CLK | SFP_GEN[43].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[43].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__562_n_0 | SFP_GEN[44].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[48][6]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__77_n_0 | SFP_GEN[28].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[64][11]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[32].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | CLK | SFP_GEN[43].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[5].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[43].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | CLK | SFP_GEN[29].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[48][7]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__78_n_0 | SFP_GEN[28].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 2 | 8 | 4.00 | | CLK | SFP_GEN[2].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__561_n_0 | SFP_GEN[44].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 2 | 8 | 4.00 | | CLK | SFP_GEN[20].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[5].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[46].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[64][10]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[48][8]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__79_n_0 | SFP_GEN[28].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 2 | 8 | 4.00 | | CLK | SFP_GEN[43].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].patternSearch/gbtBank_Clk_gen[10].cnt_reg[10][7][0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 2 | 8 | 4.00 | | CLK | SFP_GEN[20].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[15].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 6 | 8 | 1.33 | | CLK | SFP_GEN[5].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[32].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[48][9]_0 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__80_n_0 | SFP_GEN[28].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 3 | 8 | 2.67 | | CLK | SFP_GEN[20].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[46].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[37][23]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[20].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__551_n_0 | SFP_GEN[44].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[46].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__282_n_0 | SFP_GEN[17].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[64][0]_1 | 2 | 8 | 4.00 | | CLK | SFP_GEN[46].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[39][17]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[12].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[29].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[20].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[2].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__128_n_0 | SFP_GEN[43].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 1 | 8 | 8.00 | | CLK | SFP_GEN[6].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[20].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[63][25]_0 | 2 | 8 | 4.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].patternSearch/gbtBank_Clk_gen[9].cnt_reg[9][7][0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[22][0]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[20].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[46].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__539_n_0 | SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__48_n_0 | SFP_GEN[19].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 3 | 8 | 2.67 | | CLK | SFP_GEN[46].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__127_n_0 | SFP_GEN[43].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 1 | 8 | 8.00 | | CLK | SFP_GEN[46].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[46].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__266_n_0 | SFP_GEN[23].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 2 | 8 | 4.00 | | CLK | SFP_GEN[20].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[22][10]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__549_n_0 | SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[63][24]_0 | 1 | 8 | 8.00 | | CLK | SFP_GEN[2].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[20].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[22][11]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__550_n_0 | SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 3 | 8 | 2.67 | | CLK | SFP_GEN[32].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].patternSearch/gbtBank_Clk_gen[8].cnt_reg[8][7][0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__536_n_0 | SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 3 | 8 | 2.67 | | CLK | SFP_GEN[46].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__507_n_0 | SFP_GEN[24].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 2 | 8 | 4.00 | | CLK | SFP_GEN[20].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | CLK | SFP_GEN[46].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[47].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].patternSearch/gbtBank_Clk_gen[7].cnt_reg[7][7][0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[22][1]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__540_n_0 | SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 2 | 8 | 4.00 | | CLK | SFP_GEN[20].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__126_n_0 | SFP_GEN[43].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[22][2]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[37][24]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[46].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__541_n_0 | SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 2 | 8 | 4.00 | | CLK | SFP_GEN[46].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[63][23]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[20].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].patternSearch/gbtBank_Clk_gen[6].cnt_reg[6][7][0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 8 | 2.67 | | CLK | SFP_GEN[46].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 6 | 8 | 1.33 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].patternSearch/gbtBank_Clk_gen[5].cnt_reg[5][7][0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__283_n_0 | SFP_GEN[17].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[22][3]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__542_n_0 | SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 2 | 8 | 4.00 | | CLK | SFP_GEN[2].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[20].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__538_n_0 | SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[43][19]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[22][4]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__543_n_0 | SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 2 | 8 | 4.00 | | CLK | SFP_GEN[29].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | CLK | SFP_GEN[47].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[47].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__125_n_0 | SFP_GEN[43].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[63][22]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[47].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[47].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[26].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].patternSearch/gbtBank_Clk_gen[4].cnt_reg[4][7][0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[22][5]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__544_n_0 | SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__124_n_0 | SFP_GEN[43].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[22][6]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__545_n_0 | SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[63][21]_0 | 1 | 8 | 8.00 | | CLK | SFP_GEN[47].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[2].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__265_n_0 | SFP_GEN[23].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 2 | 8 | 4.00 | | CLK | SFP_GEN[47].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[26].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__506_n_0 | SFP_GEN[24].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 4 | 8 | 2.00 | | CLK | SFP_GEN[47].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[43][18]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[22][7]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__546_n_0 | SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 1 | 8 | 8.00 | | CLK | SFP_GEN[47].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__123_n_0 | SFP_GEN[43].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_4 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[4].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[63][20]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[22][8]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__547_n_0 | SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 3 | 8 | 2.67 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].patternSearch/gbtBank_Clk_gen[3].cnt_reg[3][7][0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 8 | 2.67 | | CLK | SFP_GEN[47].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[47].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[29].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[44].ngFEC_module/bkp_buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__122_n_0 | SFP_GEN[43].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[22][9]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__548_n_0 | SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[63][19]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[44][3]_0 | 3 | 8 | 2.67 | | CLK | SFP_GEN[44].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[37][25]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[26].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[47].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__121_n_0 | SFP_GEN[43].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[63][18]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[44].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[2].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[44].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[2].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__284_n_0 | SFP_GEN[17].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 2 | 8 | 4.00 | | CLK | SFP_GEN[47].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | CLK | SFP_GEN[44].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[44].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__120_n_0 | SFP_GEN[43].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[49][16]_1 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__359_n_0 | SFP_GEN[29].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 1 | 8 | 8.00 | | CLK | SFP_GEN[28].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[63][17]_0 | 3 | 8 | 2.67 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].patternSearch/gbtBank_Clk_gen[2].cnt_reg[2][7][0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[39][27]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[44].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__264_n_0 | SFP_GEN[23].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[49][26]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[29].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[49][17]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__369_n_0 | SFP_GEN[29].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__130_n_0 | SFP_GEN[43].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[63][27]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[43][17]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[44].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[44].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__274_n_0 | SFP_GEN[23].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[49][27]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__370_n_0 | SFP_GEN[29].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 3 | 8 | 2.67 | | CLK | SFP_GEN[2].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__129_n_0 | SFP_GEN[43].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 2 | 8 | 4.00 | | CLK | SFP_GEN[44].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | CLK | SFP_GEN[26].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | CLK | SFP_GEN[44].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].patternSearch/gbtBank_Clk_gen[1].cnt_reg[1][7][0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__360_n_0 | SFP_GEN[29].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_1 | 3 | 8 | 2.67 | | CLK | SFP_GEN[44].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[43][27]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[63][26]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[11].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__58_n_0 | SFP_GEN[19].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_11 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__273_n_0 | SFP_GEN[23].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[43][26]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[49][18]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__361_n_0 | SFP_GEN[29].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 2 | 8 | 4.00 | | CLK | SFP_GEN[4].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[49][19]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[31][21]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__263_n_0 | SFP_GEN[23].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 1 | 8 | 8.00 | | CLK | SFP_GEN[4].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/E[0] | ipb_rst_BUFG | 3 | 8 | 2.67 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].patternSearch/E[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/AR[0] | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__364_n_0 | SFP_GEN[29].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__119_n_0 | SFP_GEN[43].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[49][23]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[63][16]_1 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[43][16]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[38][0]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[34][2]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__54_n_0 | SFP_GEN[19].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[39][24]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[49][22]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__365_n_0 | SFP_GEN[29].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 1 | 8 | 8.00 | | CLK | SFP_GEN[29].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/E[0] | ipb_rst_BUFG | 5 | 8 | 1.60 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__116_n_0 | SFP_GEN[42].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[0].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__455_n_0 | SFP_GEN[18].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[26].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[2].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__13_n_0 | SFP_GEN[14].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_2 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__366_n_0 | SFP_GEN[29].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_7 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[6].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__533_n_0 | SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_6 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[38][10]_0 | 2 | 8 | 4.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].patternSearch/gbtBank_Clk_gen[8].cnt_reg[8][7][0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_tx_phase_aligner_fsm/DONE_o_reg[0] | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[62][9]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__530_n_0 | SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_3 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/i2c_gen[7].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[39][23]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[49][24]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__367_n_0 | SFP_GEN[29].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/i2c_gen[3].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[34][3]_0 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[1].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[38][1]_0 | 2 | 8 | 4.00 | | CLK | SFP_GEN[26].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/i2c_gen[10].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__465_n_0 | SFP_GEN[18].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_10 | 1 | 8 | 8.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__524_n_0 | SFP_GEN[22].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/i2c_gen[5].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__424_n_0 | SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_5 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/byte_ctrl/dcnt | ctrl_regs_inst/regs_reg[49][25]_0 | 3 | 8 | 2.67 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/i2c_gen[9].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__368_n_0 | SFP_GEN[29].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_9 | 2 | 8 | 4.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/i2c_gen[8].LocalI2CBridge_fe/i2c_master/txr[7]_i_2__115_n_0 | SFP_GEN[42].ngCCM_gbt/Sync_TX_Reset/sync_m_reg[3]_8 | 2 | 8 | 4.00 | | CLK | SFP_GEN[6].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/E[0] | ipb_rst_BUFG | 4 | 8 | 2.00 | | i_tcds2_if/i_mgt_wrapper/i_rxusrclk/bbstub_rxoutclk_out[0] | | i_tcds2_if/rx_uplinkRst_n_bit_sync_320/i_in_out | 4 | 9 | 2.25 | | tx_wordclk | TX_CLKEN_repN_40 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/gbt_txreset_s[0] | 4 | 9 | 2.25 | | CLKFBIN | | ipb/udp_if/ARP/arp_send_reg_0 | 2 | 9 | 4.50 | | CLK | ipb/trans/iface/waddr03_out | ipb/trans/iface/waddr | 2 | 9 | 4.50 | | clk250 | stat_regs_inst/wea_repN_6 | | 2 | 9 | 4.50 | | tx_wordclk | TX_CLKEN_repN_41 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbt_txreset_s[0] | 4 | 9 | 2.25 | | tx_wordclk | TX_CLKEN_repN_49 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/AR[0] | 5 | 9 | 1.80 | | tx_wordclk | TX_CLKEN_repN_32 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbt_txreset_s[0] | 5 | 9 | 1.80 | | CLK | ipb/trans/iface/raddr0 | ipb/udp_if/clock_crossing_if/busy_reg_1[0] | 2 | 9 | 4.50 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[28].ngFEC_module/bram_array[6].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[16].ngFEC_module/bram_array[5].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[22].ngFEC_module/bram_array[10].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[30].ngFEC_module/bram_array[7].buffer_server/SR[0] | 2 | 10 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 3 | 10 | 3.33 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[38].ngFEC_module/bram_array[13].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[8].ngFEC_module/bram_array[4].buffer_server/SR[0] | 2 | 10 | 5.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[30].ngFEC_module/bram_array[8].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[2].ngFEC_module/bram_array[5].buffer_server/SR[0] | 3 | 10 | 3.33 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[16].ngFEC_module/bram_array[11].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[27].ngFEC_module/bram_array[8].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[22].ngFEC_module/bram_array[1].buffer_server/SR[0] | 3 | 10 | 3.33 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 2 | 10 | 5.00 | | CLKFBIN | | i_tcds2_if/i_mgt_wrapper/i_reset_sm/p_1_in_0 | 3 | 10 | 3.33 | | CLKFBIN | | ctrl_regs_inst/rst_in | 3 | 10 | 3.33 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[16].ngFEC_module/bram_array[10].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[40].ngFEC_module/bram_array[5].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[38].ngFEC_module/bram_array[1].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[5].ngFEC_module/bram_array[13].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLKFBIN | eth/mac/i_mac/emacclientrxdvld_reg_2[0] | ipb/udp_if/rx_reset_block/SR[0] | 1 | 10 | 10.00 | | CLKFBIN | i_tcds2_if/i_mgt_wrapper/i_reset_sm/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | i_tcds2_if/i_mgt_wrapper/i_reset_sm/sm_reset_rx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLKFBIN | i_tcds2_if/i_mgt_wrapper/i_reset_sm/sm_reset_tx_pll_timer_ctr[9]_i_1_n_0 | i_tcds2_if/i_mgt_wrapper/i_reset_sm/sm_reset_tx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__2_23 | 4 | 10 | 2.50 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[8].ngFEC_module/bram_array[5].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[16].ngFEC_module/bram_array[9].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[30].ngFEC_module/bram_array[10].buffer_server/SR[0] | 4 | 10 | 2.50 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[38].ngFEC_module/bram_array[10].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[6].ngFEC_module/bram_array[3].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[22].ngFEC_module/bram_array[11].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[5].ngFEC_module/bram_array[11].buffer_server/SR[0] | 7 | 10 | 1.43 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[16].ngFEC_module/bram_array[8].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[30].ngFEC_module/bram_array[3].buffer_server/SR[0] | 4 | 10 | 2.50 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[28].ngFEC_module/bram_array[0].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[40].ngFEC_module/bram_array[4].buffer_server/SR[0] | 4 | 10 | 2.50 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[16].ngFEC_module/bram_array[7].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[30].ngFEC_module/bram_array[1].buffer_server/SR[0] | 3 | 10 | 3.33 | | clk250 | g_clock_rate_din[14].i_rate_ngccm_status0/E[0]_repN_2 | | 2 | 10 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[26].ngFEC_module/bram_array[5].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[38].ngFEC_module/bram_array[9].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLKFBIN | eth/phy/U0/transceiver_inst/gig_ethernet_pcs_pma_0_gt_i/inst/gen_gtwizard_gthe3_top.gig_ethernet_pcs_pma_0_gt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | eth/phy/U0/transceiver_inst/gig_ethernet_pcs_pma_0_gt_i/inst/gen_gtwizard_gthe3_top.gig_ethernet_pcs_pma_0_gt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLKFBIN | eth/phy/U0/transceiver_inst/gig_ethernet_pcs_pma_0_gt_i/inst/gen_gtwizard_gthe3_top.gig_ethernet_pcs_pma_0_gt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | eth/phy/U0/transceiver_inst/gig_ethernet_pcs_pma_0_gt_i/inst/gen_gtwizard_gthe3_top.gig_ethernet_pcs_pma_0_gt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[40].ngFEC_module/bram_array[2].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[22].ngFEC_module/bram_array[13].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[16].ngFEC_module/bram_array[6].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[30].ngFEC_module/bram_array[11].buffer_server/SR[0] | 4 | 10 | 2.50 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[38].ngFEC_module/bram_array[8].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[16].ngFEC_module/bram_array[1].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[6].ngFEC_module/bram_array[1].buffer_server/SR[0] | 2 | 10 | 5.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[6].ngFEC_module/bram_array[11].buffer_server/SR[0] | 2 | 10 | 5.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[26].ngFEC_module/bram_array[4].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[40].ngFEC_module/bram_array[13].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[38].ngFEC_module/bram_array[7].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | i_I2C_if/I2C_array[0].buffer_ngccm/p_1_out[31] | ipb_rst_BUFG | 3 | 10 | 3.33 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[28].ngFEC_module/bram_array[11].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | i_I2C_if/I2C_array[1].buffer_ngccm/p_1_out[31] | ipb_rst_BUFG | 5 | 10 | 2.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[22].ngFEC_module/bram_array[3].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | i_I2C_if/I2C_array[2].buffer_ngccm/p_1_out[31] | ipb_rst_BUFG | 4 | 10 | 2.50 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 2 | 10 | 5.00 | | CLK | i_I2C_if/I2C_array[3].buffer_ngccm/p_1_out[31] | ipb_rst_BUFG | 5 | 10 | 2.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[2].ngFEC_module/bram_array[9].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | i_I2C_if/I2C_array[4].buffer_ngccm/p_1_out[31] | ipb_rst_BUFG | 4 | 10 | 2.50 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[30].ngFEC_module/bram_array[13].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | i_I2C_if/I2C_array[5].buffer_ngccm/p_1_out[31] | ipb_rst_BUFG | 5 | 10 | 2.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[38].ngFEC_module/bram_array[11].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | i_I2C_if/I2C_array[6].buffer_ngccm/p_1_out[31] | ipb_rst_BUFG | 4 | 10 | 2.50 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[22].ngFEC_module/bram_array[4].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | i_I2C_if/I2C_array[7].buffer_ngccm/p_1_out[31] | ipb_rst_BUFG | 3 | 10 | 3.33 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[26].ngFEC_module/bram_array[3].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | i_I2C_if/I2C_array[8].buffer_ngccm/p_1_out[31] | ipb_rst_BUFG | 1 | 10 | 10.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[30].ngFEC_module/bram_array[2].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[38].ngFEC_module/bram_array[6].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[40].ngFEC_module/bram_array[11].buffer_server/SR[0] | 5 | 10 | 2.00 | | tx_wordclk | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[22].ngFEC_module/bram_array[5].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[38].ngFEC_module/bram_array[0].buffer_server/SR[0] | 5 | 10 | 2.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 1 | 10 | 10.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[16].ngFEC_module/bram_array[0].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[30].ngFEC_module/bram_array[4].buffer_server/SR[0] | 3 | 10 | 3.33 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 1 | 10 | 10.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[26].ngFEC_module/bram_array[13].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[30].ngFEC_module/bram_array[5].buffer_server/SR[0] | 4 | 10 | 2.50 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[6].ngFEC_module/bram_array[13].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[27].ngFEC_module/bram_array[7].buffer_server/SR[0] | 5 | 10 | 2.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 2 | 10 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 2 | 10 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 3 | 10 | 3.33 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[26].ngFEC_module/bram_array[1].buffer_server/SR[0] | 4 | 10 | 2.50 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 2 | 10 | 5.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 3 | 10 | 3.33 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[42].ngFEC_module/bram_array[0].buffer_server/SR[0] | 6 | 10 | 1.67 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[5].ngFEC_module/bram_array[1].buffer_server/SR[0] | 2 | 10 | 5.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[42].ngFEC_module/bram_array[6].buffer_server/SR[0] | 5 | 10 | 2.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[29].ngFEC_module/bram_array[9].buffer_server/SR[0] | 3 | 10 | 3.33 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 1 | 10 | 10.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[26].ngFEC_module/bram_array[10].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[5].ngFEC_module/bram_array[10].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[40].ngFEC_module/bram_array[1].buffer_server/SR[0] | 4 | 10 | 2.50 | | tx_wordclk | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 2 | 10 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[6].ngFEC_module/bram_array[2].buffer_server/SR[0] | 4 | 10 | 2.50 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[37].ngFEC_module/bram_array[5].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[15].ngFEC_module/bram_array[5].buffer_server/SR[0] | 3 | 10 | 3.33 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[40].ngFEC_module/bram_array[3].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[37].ngFEC_module/bram_array[4].buffer_server/SR[0] | 5 | 10 | 2.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[15].ngFEC_module/bram_array[3].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[6].ngFEC_module/bram_array[4].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[37].ngFEC_module/bram_array[3].buffer_server/SR[0] | 5 | 10 | 2.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[31].ngFEC_module/bram_array[0].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[40].ngFEC_module/bram_array[10].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[15].ngFEC_module/bram_array[2].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[37].ngFEC_module/bram_array[2].buffer_server/SR[0] | 2 | 10 | 5.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[26].ngFEC_module/bram_array[9].buffer_server/SR[0] | 3 | 10 | 3.33 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[31].ngFEC_module/bram_array[6].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[6].ngFEC_module/bram_array[5].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[26].ngFEC_module/bram_array[8].buffer_server/SR[0] | 4 | 10 | 2.50 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[15].ngFEC_module/bram_array[13].buffer_server/SR[0] | 4 | 10 | 2.50 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[37].ngFEC_module/bram_array[13].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[26].ngFEC_module/bram_array[11].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[40].ngFEC_module/bram_array[9].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[31].ngFEC_module/bram_array[1].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[15].ngFEC_module/bram_array[4].buffer_server/SR[0] | 4 | 10 | 2.50 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[15].ngFEC_module/bram_array[11].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[26].ngFEC_module/bram_array[7].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[31].ngFEC_module/bram_array[7].buffer_server/SR[0] | 4 | 10 | 2.50 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[15].ngFEC_module/bram_array[1].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[37].ngFEC_module/bram_array[1].buffer_server/SR[0] | 5 | 10 | 2.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 1 | 10 | 10.00 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[21].ngFEC_module/bram_array[0].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[26].ngFEC_module/bram_array[6].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[15].ngFEC_module/bram_array[9].buffer_server/SR[0] | 2 | 10 | 5.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[31].ngFEC_module/bram_array[8].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[40].ngFEC_module/bram_array[8].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[1].ngFEC_module/bram_array[6].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[37].ngFEC_module/bram_array[10].buffer_server/SR[0] | 5 | 10 | 2.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 2 | 10 | 5.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[15].ngFEC_module/bram_array[8].buffer_server/SR[0] | 5 | 10 | 2.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[2].ngFEC_module/bram_array[8].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[15].ngFEC_module/bram_array[10].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[15].ngFEC_module/bram_array[7].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[1].ngFEC_module/bram_array[7].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[37].ngFEC_module/bram_array[9].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[15].ngFEC_module/bram_array[6].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[40].ngFEC_module/bram_array[7].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[1].ngFEC_module/bram_array[8].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[37].ngFEC_module/bram_array[8].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[37].ngFEC_module/bram_array[11].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[15].ngFEC_module/bram_array[0].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[29].ngFEC_module/bram_array[10].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[37].ngFEC_module/bram_array[7].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[28].ngFEC_module/bram_array[7].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[37].ngFEC_module/bram_array[6].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[1].ngFEC_module/bram_array[9].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[23].ngFEC_module/bram_array[0].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[37].ngFEC_module/bram_array[0].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[23].ngFEC_module/bram_array[6].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[23].ngFEC_module/bram_array[7].buffer_server/SR[0] | 2 | 10 | 5.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[13].ngFEC_module/bram_array[4].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[1].ngFEC_module/bram_array[10].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[13].ngFEC_module/bram_array[3].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[13].ngFEC_module/bram_array[2].buffer_server/SR[0] | 6 | 10 | 1.67 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[40].ngFEC_module/bram_array[6].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[1].ngFEC_module/bram_array[1].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[23].ngFEC_module/bram_array[8].buffer_server/SR[0] | 2 | 10 | 5.00 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[21].ngFEC_module/bram_array[6].buffer_server/SR[0] | 6 | 10 | 1.67 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[13].ngFEC_module/bram_array[13].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[13].ngFEC_module/bram_array[5].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[13].ngFEC_module/bram_array[11].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[1].ngFEC_module/bram_array[11].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[36].ngFEC_module/bram_array[5].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[23].ngFEC_module/bram_array[9].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[2].ngFEC_module/bram_array[7].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[1].ngFEC_module/bram_array[13].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[13].ngFEC_module/bram_array[10].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[36].ngFEC_module/bram_array[3].buffer_server/SR[0] | 2 | 10 | 5.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[13].ngFEC_module/bram_array[9].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[23].ngFEC_module/bram_array[10].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[36].ngFEC_module/bram_array[2].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[13].ngFEC_module/bram_array[8].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[23].ngFEC_module/bram_array[3].buffer_server/SR[0] | 2 | 10 | 5.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[13].ngFEC_module/bram_array[1].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[36].ngFEC_module/bram_array[13].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[13].ngFEC_module/bram_array[7].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[23].ngFEC_module/bram_array[1].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[36].ngFEC_module/bram_array[4].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[1].ngFEC_module/bram_array[2].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[13].ngFEC_module/bram_array[6].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[36].ngFEC_module/bram_array[11].buffer_server/SR[0] | 6 | 10 | 1.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[1].ngFEC_module/bram_array[3].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[27].ngFEC_module/bram_array[13].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[23].ngFEC_module/bram_array[11].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[36].ngFEC_module/bram_array[1].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[40].ngFEC_module/bram_array[0].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[1].ngFEC_module/bram_array[4].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[23].ngFEC_module/bram_array[13].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[21].ngFEC_module/bram_array[7].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[13].ngFEC_module/bram_array[0].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[2].ngFEC_module/bram_array[6].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[36].ngFEC_module/bram_array[9].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[23].ngFEC_module/bram_array[2].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[1].ngFEC_module/bram_array[5].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[36].ngFEC_module/bram_array[8].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[36].ngFEC_module/bram_array[7].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[23].ngFEC_module/bram_array[4].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[23].ngFEC_module/bram_array[5].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[36].ngFEC_module/bram_array[6].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[36].ngFEC_module/bram_array[10].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[36].ngFEC_module/bram_array[0].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[12].ngFEC_module/bram_array[5].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[12].ngFEC_module/bram_array[4].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[12].ngFEC_module/bram_array[2].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[27].ngFEC_module/bram_array[6].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[12].ngFEC_module/bram_array[13].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[12].ngFEC_module/bram_array[11].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[12].ngFEC_module/bram_array[1].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[12].ngFEC_module/bram_array[3].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[35].ngFEC_module/bram_array[5].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[12].ngFEC_module/bram_array[10].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[35].ngFEC_module/bram_array[4].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[35].ngFEC_module/bram_array[2].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[12].ngFEC_module/bram_array[9].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[35].ngFEC_module/bram_array[13].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[12].ngFEC_module/bram_array[8].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[12].ngFEC_module/bram_array[7].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[12].ngFEC_module/bram_array[6].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[35].ngFEC_module/bram_array[3].buffer_server/SR[0] | 4 | 10 | 2.50 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[29].ngFEC_module/bram_array[2].buffer_server/SR[0] | 3 | 10 | 3.33 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[12].ngFEC_module/bram_array[0].buffer_server/SR[0] | 6 | 10 | 1.67 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[35].ngFEC_module/bram_array[11].buffer_server/SR[0] | 3 | 10 | 3.33 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 3 | 10 | 3.33 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 3 | 10 | 3.33 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[35].ngFEC_module/bram_array[1].buffer_server/SR[0] | 2 | 10 | 5.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__0_10 | 4 | 10 | 2.50 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 3 | 10 | 3.33 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep_26 | 3 | 10 | 3.33 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[35].ngFEC_module/bram_array[10].buffer_server/SR[0] | 2 | 10 | 5.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[28].ngFEC_module/bram_array[10].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[28].ngFEC_module/bram_array[5].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__0_24 | 4 | 10 | 2.50 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[35].ngFEC_module/bram_array[8].buffer_server/SR[0] | 3 | 10 | 3.33 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[35].ngFEC_module/bram_array[7].buffer_server/SR[0] | 4 | 10 | 2.50 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[27].ngFEC_module/bram_array[0].buffer_server/SR[0] | 5 | 10 | 2.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 3 | 10 | 3.33 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 3 | 10 | 3.33 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[29].ngFEC_module/bram_array[1].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[11].ngFEC_module/bram_array[5].buffer_server/SR[0] | 4 | 10 | 2.50 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__1_15 | 3 | 10 | 3.33 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[35].ngFEC_module/bram_array[6].buffer_server/SR[0] | 1 | 10 | 10.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[24].ngFEC_module/bram_array[0].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__0_20 | 4 | 10 | 2.50 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__1_7 | 4 | 10 | 2.50 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[2].ngFEC_module/bram_array[0].buffer_server/SR[0] | 4 | 10 | 2.50 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[11].ngFEC_module/bram_array[4].buffer_server/SR[0] | 3 | 10 | 3.33 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__0_6 | 3 | 10 | 3.33 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep_22 | 4 | 10 | 2.50 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[11].ngFEC_module/bram_array[3].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[35].ngFEC_module/bram_array[0].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep_24 | 4 | 10 | 2.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 4 | 10 | 2.50 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[39].ngFEC_module/bram_array[5].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[11].ngFEC_module/bram_array[2].buffer_server/SR[0] | 5 | 10 | 2.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 3 | 10 | 3.33 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 3 | 10 | 3.33 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[21].ngFEC_module/bram_array[8].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[11].ngFEC_module/bram_array[11].buffer_server/SR[0] | 3 | 10 | 3.33 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[24].ngFEC_module/bram_array[6].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[11].ngFEC_module/bram_array[1].buffer_server/SR[0] | 4 | 10 | 2.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[19].ngFEC_module/bram_array[7].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[11].ngFEC_module/bram_array[10].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__0_8 | 4 | 10 | 2.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 3 | 10 | 3.33 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[19].ngFEC_module/bram_array[0].buffer_server/SR[0] | 2 | 10 | 5.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[11].ngFEC_module/bram_array[9].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__1_9 | 3 | 10 | 3.33 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[39].ngFEC_module/bram_array[4].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[35].ngFEC_module/bram_array[9].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__0_25 | 3 | 10 | 3.33 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__0_14 | 4 | 10 | 2.50 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__0_4 | 3 | 10 | 3.33 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[19].ngFEC_module/bram_array[6].buffer_server/SR[0] | 6 | 10 | 1.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__0_18 | 5 | 10 | 2.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[11].ngFEC_module/bram_array[13].buffer_server/SR[0] | 4 | 10 | 2.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 3 | 10 | 3.33 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__0_22 | 4 | 10 | 2.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[11].ngFEC_module/bram_array[7].buffer_server/SR[0] | 2 | 10 | 5.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 3 | 10 | 3.33 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[19].ngFEC_module/bram_array[8].buffer_server/SR[0] | 2 | 10 | 5.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[11].ngFEC_module/bram_array[6].buffer_server/SR[0] | 5 | 10 | 2.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__1_17 | 3 | 10 | 3.33 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep_18 | 5 | 10 | 2.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[24].ngFEC_module/bram_array[1].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[19].ngFEC_module/bram_array[9].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[21].ngFEC_module/bram_array[9].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__0_16 | 3 | 10 | 3.33 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[11].ngFEC_module/bram_array[0].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__0_12 | 4 | 10 | 2.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 3 | 10 | 3.33 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep_20 | 4 | 10 | 2.50 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__1_3 | 3 | 10 | 3.33 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__1_13 | 3 | 10 | 3.33 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[11].ngFEC_module/bram_array[8].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[39].ngFEC_module/bram_array[3].buffer_server/SR[0] | 2 | 10 | 5.00 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[21].ngFEC_module/bram_array[10].buffer_server/SR[0] | 4 | 10 | 2.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 3 | 10 | 3.33 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[19].ngFEC_module/bram_array[10].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__1_5 | 4 | 10 | 2.50 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[7].ngFEC_module/bram_array[0].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep_2 | 4 | 10 | 2.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[39].ngFEC_module/bram_array[13].buffer_server/SR[0] | 6 | 10 | 1.67 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[19].ngFEC_module/bram_array[13].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep_8 | 3 | 10 | 3.33 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__1_19 | 3 | 10 | 3.33 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[19].ngFEC_module/bram_array[1].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[24].ngFEC_module/bram_array[7].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[21].ngFEC_module/bram_array[3].buffer_server/SR[0] | 4 | 10 | 2.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[7].ngFEC_module/bram_array[1].buffer_server/SR[0] | 3 | 10 | 3.33 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 3 | 10 | 3.33 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 3 | 10 | 3.33 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 3 | 10 | 3.33 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[19].ngFEC_module/bram_array[11].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__1_23 | 2 | 10 | 5.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[24].ngFEC_module/bram_array[8].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[39].ngFEC_module/bram_array[11].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__1_11 | 4 | 10 | 2.50 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[19].ngFEC_module/bram_array[2].buffer_server/SR[0] | 3 | 10 | 3.33 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 3 | 10 | 3.33 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[21].ngFEC_module/bram_array[1].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[19].ngFEC_module/bram_array[3].buffer_server/SR[0] | 4 | 10 | 2.50 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 3 | 10 | 3.33 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[7].ngFEC_module/bram_array[6].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[39].ngFEC_module/bram_array[1].buffer_server/SR[0] | 4 | 10 | 2.50 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 3 | 10 | 3.33 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[21].ngFEC_module/bram_array[11].buffer_server/SR[0] | 4 | 10 | 2.50 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 3 | 10 | 3.33 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 3 | 10 | 3.33 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[10].ngFEC_module/bram_array[5].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[10].ngFEC_module/bram_array[3].buffer_server/SR[0] | 4 | 10 | 2.50 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[19].ngFEC_module/bram_array[4].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[7].ngFEC_module/bram_array[7].buffer_server/SR[0] | 6 | 10 | 1.67 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[10].ngFEC_module/bram_array[2].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[19].ngFEC_module/bram_array[5].buffer_server/SR[0] | 4 | 10 | 2.50 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 3 | 10 | 3.33 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[10].ngFEC_module/bram_array[13].buffer_server/SR[0] | 3 | 10 | 3.33 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[39].ngFEC_module/bram_array[10].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[10].ngFEC_module/bram_array[4].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[21].ngFEC_module/bram_array[13].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[7].ngFEC_module/bram_array[8].buffer_server/SR[0] | 4 | 10 | 2.50 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 3 | 10 | 3.33 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[10].ngFEC_module/bram_array[11].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[34].ngFEC_module/bram_array[5].buffer_server/SR[0] | 5 | 10 | 2.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep_6 | 3 | 10 | 3.33 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[10].ngFEC_module/bram_array[1].buffer_server/SR[0] | 3 | 10 | 3.33 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[7].ngFEC_module/bram_array[9].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__1_21 | 4 | 10 | 2.50 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[34].ngFEC_module/bram_array[4].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[29].ngFEC_module/bram_array[11].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[39].ngFEC_module/bram_array[2].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[10].ngFEC_module/bram_array[9].buffer_server/SR[0] | 5 | 10 | 2.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[24].ngFEC_module/bram_array[9].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[10].ngFEC_module/bram_array[8].buffer_server/SR[0] | 3 | 10 | 3.33 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 3 | 10 | 3.33 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[10].ngFEC_module/bram_array[7].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[39].ngFEC_module/bram_array[9].buffer_server/SR[0] | 4 | 10 | 2.50 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__1_25 | 4 | 10 | 2.50 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[24].ngFEC_module/bram_array[10].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[21].ngFEC_module/bram_array[2].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[27].ngFEC_module/bram_array[11].buffer_server/SR[0] | 5 | 10 | 2.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 3 | 10 | 3.33 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[10].ngFEC_module/bram_array[10].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep_4 | 4 | 10 | 2.50 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[7].ngFEC_module/bram_array[10].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__0_2 | 4 | 10 | 2.50 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[21].ngFEC_module/bram_array[4].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep_16 | 3 | 10 | 3.33 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[39].ngFEC_module/bram_array[7].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[10].ngFEC_module/bram_array[6].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[34].ngFEC_module/bram_array[3].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[34].ngFEC_module/bram_array[2].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[7].ngFEC_module/bram_array[5].buffer_server/SR[0] | 6 | 10 | 1.67 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[28].ngFEC_module/bram_array[9].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep_14 | 4 | 10 | 2.50 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 3 | 10 | 3.33 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[10].ngFEC_module/bram_array[0].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[24].ngFEC_module/bram_array[11].buffer_server/SR[0] | 3 | 10 | 3.33 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep_12 | 4 | 10 | 2.50 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep_10 | 3 | 10 | 3.33 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 3 | 10 | 3.33 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 3 | 10 | 3.33 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[24].ngFEC_module/bram_array[5].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[34].ngFEC_module/bram_array[13].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[7].ngFEC_module/bram_array[11].buffer_server/SR[0] | 2 | 10 | 5.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 3 | 10 | 3.33 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[39].ngFEC_module/bram_array[6].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[24].ngFEC_module/bram_array[13].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[21].ngFEC_module/bram_array[5].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[34].ngFEC_module/bram_array[1].buffer_server/SR[0] | 6 | 10 | 1.67 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 4 | 10 | 2.50 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 3 | 10 | 3.33 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[34].ngFEC_module/bram_array[10].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[4].ngFEC_module/bram_array[5].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[24].ngFEC_module/bram_array[2].buffer_server/SR[0] | 5 | 10 | 2.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 3 | 10 | 3.33 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[39].ngFEC_module/bram_array[0].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[34].ngFEC_module/bram_array[11].buffer_server/SR[0] | 2 | 10 | 5.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 3 | 10 | 3.33 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 3 | 10 | 3.33 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[9].ngFEC_module/bram_array[5].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[7].ngFEC_module/bram_array[13].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[20].ngFEC_module/bram_array[8].buffer_server/SR[0] | 3 | 10 | 3.33 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[24].ngFEC_module/bram_array[3].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[39].ngFEC_module/bram_array[8].buffer_server/SR[0] | 4 | 10 | 2.50 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[34].ngFEC_module/bram_array[9].buffer_server/SR[0] | 6 | 10 | 1.67 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[9].ngFEC_module/bram_array[3].buffer_server/SR[0] | 4 | 10 | 2.50 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[20].ngFEC_module/bram_array[0].buffer_server/SR[0] | 3 | 10 | 3.33 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 3 | 10 | 3.33 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[24].ngFEC_module/bram_array[4].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[29].ngFEC_module/bram_array[13].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[9].ngFEC_module/bram_array[2].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[20].ngFEC_module/bram_array[6].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[34].ngFEC_module/bram_array[8].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[7].ngFEC_module/bram_array[2].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[9].ngFEC_module/bram_array[13].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[20].ngFEC_module/bram_array[7].buffer_server/SR[0] | 3 | 10 | 3.33 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sel | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_tx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_ctr[9]_i_1_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_pll_timer_clr_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[9].ngFEC_module/bram_array[4].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[9].ngFEC_module/bram_array[11].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[20].ngFEC_module/bram_array[9].buffer_server/SR[0] | 7 | 10 | 1.43 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[9].ngFEC_module/bram_array[1].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[34].ngFEC_module/bram_array[7].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[20].ngFEC_module/bram_array[2].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[9].ngFEC_module/bram_array[9].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[7].ngFEC_module/bram_array[3].buffer_server/SR[0] | 4 | 10 | 2.50 | | tx_wordclk | TX_CLKEN_repN_61 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbt_txreset_s[0] | 4 | 10 | 2.50 | | tx_wordclk | TX_CLKEN_repN_59 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbt_txreset_s[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[9].ngFEC_module/bram_array[8].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[9].ngFEC_module/bram_array[7].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[34].ngFEC_module/bram_array[6].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[20].ngFEC_module/bram_array[10].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[9].ngFEC_module/bram_array[6].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[9].ngFEC_module/bram_array[10].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[9].ngFEC_module/bram_array[0].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[34].ngFEC_module/bram_array[0].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[28].ngFEC_module/bram_array[4].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[0].ngFEC_module/bram_array[4].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[0].ngFEC_module/bram_array[3].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[31].ngFEC_module/bram_array[9].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[7].ngFEC_module/bram_array[4].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[0].ngFEC_module/bram_array[2].buffer_server/SR[0] | 2 | 10 | 5.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[0].ngFEC_module/bram_array[13].buffer_server/SR[0] | 2 | 10 | 5.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[0].ngFEC_module/bram_array[5].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[26].ngFEC_module/bram_array[0].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[33].ngFEC_module/bram_array[5].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[33].ngFEC_module/bram_array[3].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[0].ngFEC_module/bram_array[11].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[33].ngFEC_module/bram_array[2].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[0].ngFEC_module/bram_array[10].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[5].ngFEC_module/bram_array[3].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[0].ngFEC_module/bram_array[9].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[33].ngFEC_module/bram_array[13].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[0].ngFEC_module/bram_array[8].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[33].ngFEC_module/bram_array[4].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[29].ngFEC_module/bram_array[3].buffer_server/SR[0] | 2 | 10 | 5.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[0].ngFEC_module/bram_array[7].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[20].ngFEC_module/bram_array[1].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[33].ngFEC_module/bram_array[11].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[0].ngFEC_module/bram_array[1].buffer_server/SR[0] | 6 | 10 | 1.67 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[0].ngFEC_module/bram_array[6].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[31].ngFEC_module/bram_array[10].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[5].ngFEC_module/bram_array[4].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[33].ngFEC_module/bram_array[1].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[33].ngFEC_module/bram_array[9].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[0].ngFEC_module/bram_array[0].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[20].ngFEC_module/bram_array[11].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[33].ngFEC_module/bram_array[8].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[31].ngFEC_module/bram_array[11].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[33].ngFEC_module/bram_array[7].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[25].ngFEC_module/bram_array[0].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[33].ngFEC_module/bram_array[6].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[28].ngFEC_module/bram_array[1].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[25].ngFEC_module/bram_array[10].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[25].ngFEC_module/bram_array[6].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[33].ngFEC_module/bram_array[10].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[33].ngFEC_module/bram_array[0].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[25].ngFEC_module/bram_array[7].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[25].ngFEC_module/bram_array[8].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[3].ngFEC_module/bram_array[5].buffer_server/SR[0] | 6 | 10 | 1.67 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[5].ngFEC_module/bram_array[5].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[28].ngFEC_module/bram_array[3].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[31].ngFEC_module/bram_array[5].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[25].ngFEC_module/bram_array[9].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[17].ngFEC_module/bram_array[10].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[31].ngFEC_module/bram_array[13].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[32].ngFEC_module/bram_array[4].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[47].ngFEC_module/bram_array[3].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[47].ngFEC_module/bram_array[5].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[47].ngFEC_module/bram_array[2].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[25].ngFEC_module/bram_array[1].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[32].ngFEC_module/bram_array[3].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[25].ngFEC_module/bram_array[4].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[32].ngFEC_module/bram_array[2].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[47].ngFEC_module/bram_array[13].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[25].ngFEC_module/bram_array[11].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[47].ngFEC_module/bram_array[11].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[32].ngFEC_module/bram_array[5].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[25].ngFEC_module/bram_array[13].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[47].ngFEC_module/bram_array[1].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[47].ngFEC_module/bram_array[4].buffer_server/SR[0] | 2 | 10 | 5.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[32].ngFEC_module/bram_array[13].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[28].ngFEC_module/bram_array[2].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[47].ngFEC_module/bram_array[9].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[32].ngFEC_module/bram_array[11].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[18].ngFEC_module/bram_array[5].buffer_server/SR[0] | 2 | 10 | 5.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[31].ngFEC_module/bram_array[2].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[18].ngFEC_module/bram_array[4].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[3].ngFEC_module/bram_array[4].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[44].ngFEC_module/bram_array[6].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[47].ngFEC_module/bram_array[8].buffer_server/SR[0] | 2 | 10 | 5.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[29].ngFEC_module/bram_array[0].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[44].ngFEC_module/bram_array[0].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[47].ngFEC_module/bram_array[7].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[18].ngFEC_module/bram_array[3].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[41].ngFEC_module/bram_array[4].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[47].ngFEC_module/bram_array[6].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[18].ngFEC_module/bram_array[2].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[28].ngFEC_module/bram_array[8].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[47].ngFEC_module/bram_array[0].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[4].ngFEC_module/bram_array[7].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[47].ngFEC_module/bram_array[10].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[31].ngFEC_module/bram_array[3].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[18].ngFEC_module/bram_array[13].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[4].ngFEC_module/bram_array[0].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[3].ngFEC_module/bram_array[3].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[27].ngFEC_module/bram_array[5].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[18].ngFEC_module/bram_array[1].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[27].ngFEC_module/bram_array[1].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[4].ngFEC_module/bram_array[6].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[2].ngFEC_module/bram_array[11].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[4].ngFEC_module/bram_array[8].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[41].ngFEC_module/bram_array[3].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[20].ngFEC_module/bram_array[13].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[43].ngFEC_module/bram_array[3].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[4].ngFEC_module/bram_array[9].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[43].ngFEC_module/bram_array[5].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[41].ngFEC_module/bram_array[2].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[27].ngFEC_module/bram_array[10].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[18].ngFEC_module/bram_array[10].buffer_server/SR[0] | 2 | 10 | 5.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[3].ngFEC_module/bram_array[2].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[4].ngFEC_module/bram_array[10].buffer_server/SR[0] | 2 | 10 | 5.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[43].ngFEC_module/bram_array[2].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[18].ngFEC_module/bram_array[9].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[4].ngFEC_module/bram_array[13].buffer_server/SR[0] | 2 | 10 | 5.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[31].ngFEC_module/bram_array[4].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[18].ngFEC_module/bram_array[8].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[43].ngFEC_module/bram_array[13].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[4].ngFEC_module/bram_array[1].buffer_server/SR[0] | 2 | 10 | 5.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[43].ngFEC_module/bram_array[11].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[4].ngFEC_module/bram_array[11].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[18].ngFEC_module/bram_array[7].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[3].ngFEC_module/bram_array[13].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[43].ngFEC_module/bram_array[4].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[4].ngFEC_module/bram_array[2].buffer_server/SR[0] | 2 | 10 | 5.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[43].ngFEC_module/bram_array[10].buffer_server/SR[0] | 3 | 10 | 3.33 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 3 | 10 | 3.33 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[3].ngFEC_module/bram_array[11].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[4].ngFEC_module/bram_array[3].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[43].ngFEC_module/bram_array[1].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[20].ngFEC_module/bram_array[3].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[45].ngFEC_module/bram_array[5].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[29].ngFEC_module/bram_array[4].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[43].ngFEC_module/bram_array[9].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[18].ngFEC_module/bram_array[11].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[41].ngFEC_module/bram_array[11].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[20].ngFEC_module/bram_array[4].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[43].ngFEC_module/bram_array[8].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[4].ngFEC_module/bram_array[4].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[43].ngFEC_module/bram_array[7].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[45].ngFEC_module/bram_array[4].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[43].ngFEC_module/bram_array[6].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[27].ngFEC_module/bram_array[4].buffer_server/SR[0] | 1 | 10 | 10.00 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[41].ngFEC_module/bram_array[5].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[45].ngFEC_module/bram_array[3].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[18].ngFEC_module/bram_array[6].buffer_server/SR[0] | 2 | 10 | 5.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[43].ngFEC_module/bram_array[0].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[3].ngFEC_module/bram_array[1].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[18].ngFEC_module/bram_array[0].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[45].ngFEC_module/bram_array[2].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[2].ngFEC_module/bram_array[13].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__2_15 | 4 | 10 | 2.50 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[45].ngFEC_module/bram_array[13].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[45].ngFEC_module/bram_array[1].buffer_server/SR[0] | 2 | 10 | 5.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__2_9 | 3 | 10 | 3.33 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[45].ngFEC_module/bram_array[10].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__2_13 | 4 | 10 | 2.50 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[46].ngFEC_module/bram_array[0].buffer_server/SR[0] | 2 | 10 | 5.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[45].ngFEC_module/bram_array[9].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[46].ngFEC_module/bram_array[6].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[3].ngFEC_module/bram_array[10].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[45].ngFEC_module/bram_array[8].buffer_server/SR[0] | 6 | 10 | 1.67 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[46].ngFEC_module/bram_array[7].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[29].ngFEC_module/bram_array[6].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[45].ngFEC_module/bram_array[7].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[46].ngFEC_module/bram_array[8].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[45].ngFEC_module/bram_array[11].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[41].ngFEC_module/bram_array[10].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[46].ngFEC_module/bram_array[9].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[45].ngFEC_module/bram_array[6].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[8].ngFEC_module/bram_array[0].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[46].ngFEC_module/bram_array[10].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[3].ngFEC_module/bram_array[9].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[45].ngFEC_module/bram_array[0].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[46].ngFEC_module/bram_array[1].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[41].ngFEC_module/bram_array[9].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[42].ngFEC_module/bram_array[5].buffer_server/SR[0] | 2 | 10 | 5.00 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[20].ngFEC_module/bram_array[5].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[46].ngFEC_module/bram_array[11].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[42].ngFEC_module/bram_array[4].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[42].ngFEC_module/bram_array[3].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[3].ngFEC_module/bram_array[8].buffer_server/SR[0] | 2 | 10 | 5.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[42].ngFEC_module/bram_array[2].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[46].ngFEC_module/bram_array[13].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[42].ngFEC_module/bram_array[13].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[2].ngFEC_module/bram_array[1].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[5].ngFEC_module/bram_array[0].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[41].ngFEC_module/bram_array[8].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[42].ngFEC_module/bram_array[1].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[5].ngFEC_module/bram_array[6].buffer_server/SR[0] | 2 | 10 | 5.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[46].ngFEC_module/bram_array[2].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[42].ngFEC_module/bram_array[10].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__2_11 | 5 | 10 | 2.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[5].ngFEC_module/bram_array[7].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[42].ngFEC_module/bram_array[9].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[5].ngFEC_module/bram_array[8].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[41].ngFEC_module/bram_array[7].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[46].ngFEC_module/bram_array[3].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[42].ngFEC_module/bram_array[8].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[5].ngFEC_module/bram_array[9].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[3].ngFEC_module/bram_array[7].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[42].ngFEC_module/bram_array[11].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[5].ngFEC_module/bram_array[2].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[42].ngFEC_module/bram_array[7].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[44].ngFEC_module/bram_array[5].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[46].ngFEC_module/bram_array[4].buffer_server/SR[0] | 6 | 10 | 1.67 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[32].ngFEC_module/bram_array[0].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[14].ngFEC_module/bram_array[0].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[14].ngFEC_module/bram_array[8].buffer_server/SR[0] | 2 | 10 | 5.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[32].ngFEC_module/bram_array[6].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[14].ngFEC_module/bram_array[7].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[41].ngFEC_module/bram_array[6].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[14].ngFEC_module/bram_array[6].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[14].ngFEC_module/bram_array[1].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[8].ngFEC_module/bram_array[6].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[14].ngFEC_module/bram_array[10].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[29].ngFEC_module/bram_array[5].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[14].ngFEC_module/bram_array[9].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[32].ngFEC_module/bram_array[1].buffer_server/SR[0] | 2 | 10 | 5.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[2].ngFEC_module/bram_array[2].buffer_server/SR[0] | 6 | 10 | 1.67 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[14].ngFEC_module/bram_array[11].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[6].ngFEC_module/bram_array[0].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[14].ngFEC_module/bram_array[5].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[14].ngFEC_module/bram_array[4].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[14].ngFEC_module/bram_array[3].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[14].ngFEC_module/bram_array[2].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[41].ngFEC_module/bram_array[1].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[14].ngFEC_module/bram_array[13].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__2_7 | 3 | 10 | 3.33 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__2_25 | 4 | 10 | 2.50 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[32].ngFEC_module/bram_array[7].buffer_server/SR[0] | 6 | 10 | 1.67 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[28].ngFEC_module/bram_array[13].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[29].ngFEC_module/bram_array[7].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[32].ngFEC_module/bram_array[8].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[44].ngFEC_module/bram_array[4].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[46].ngFEC_module/bram_array[5].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[17].ngFEC_module/bram_array[5].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[44].ngFEC_module/bram_array[3].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[3].ngFEC_module/bram_array[6].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[41].ngFEC_module/bram_array[0].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__2_19 | 3 | 10 | 3.33 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[44].ngFEC_module/bram_array[2].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[32].ngFEC_module/bram_array[9].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[17].ngFEC_module/bram_array[4].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[44].ngFEC_module/bram_array[13].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[6].ngFEC_module/bram_array[6].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[27].ngFEC_module/bram_array[3].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__2_17 | 5 | 10 | 2.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[17].ngFEC_module/bram_array[3].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[25].ngFEC_module/bram_array[5].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[44].ngFEC_module/bram_array[1].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[2].ngFEC_module/bram_array[3].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[27].ngFEC_module/bram_array[9].buffer_server/SR[0] | 2 | 10 | 5.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[8].ngFEC_module/bram_array[7].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[6].ngFEC_module/bram_array[7].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[44].ngFEC_module/bram_array[10].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[17].ngFEC_module/bram_array[2].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[44].ngFEC_module/bram_array[9].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[32].ngFEC_module/bram_array[10].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__2_21 | 3 | 10 | 3.33 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[25].ngFEC_module/bram_array[3].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[44].ngFEC_module/bram_array[11].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[17].ngFEC_module/bram_array[13].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[44].ngFEC_module/bram_array[8].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[44].ngFEC_module/bram_array[7].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[25].ngFEC_module/bram_array[2].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[17].ngFEC_module/bram_array[1].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[26].ngFEC_module/bram_array[2].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[17].ngFEC_module/bram_array[9].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[17].ngFEC_module/bram_array[8].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[17].ngFEC_module/bram_array[11].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[17].ngFEC_module/bram_array[7].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[3].ngFEC_module/bram_array[0].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[8].ngFEC_module/bram_array[8].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[17].ngFEC_module/bram_array[6].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[17].ngFEC_module/bram_array[0].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[8].ngFEC_module/bram_array[9].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[22].ngFEC_module/bram_array[0].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[11].buffer_server/ipb_rst_reg[0] | SFP_GEN[8].ngFEC_module/bram_array[11].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[8].ngFEC_module/bram_array[10].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[22].ngFEC_module/bram_array[6].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[1].buffer_server/ipb_rst_reg[0] | SFP_GEN[8].ngFEC_module/bram_array[1].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[41].ngFEC_module/bram_array[13].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[2].ngFEC_module/bram_array[10].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[27].ngFEC_module/bram_array[2].buffer_server/SR[0] | 3 | 10 | 3.33 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 2 | 10 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 2 | 10 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 2 | 10 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 3 | 10 | 3.33 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 1 | 10 | 10.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[10].buffer_server/ipb_rst_reg[0] | SFP_GEN[6].ngFEC_module/bram_array[10].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[16].ngFEC_module/bram_array[4].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[2].ngFEC_module/bram_array[4].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[7].buffer_server/ipb_rst_reg[0] | SFP_GEN[22].ngFEC_module/bram_array[7].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[5].buffer_server/ipb_rst_reg[0] | SFP_GEN[38].ngFEC_module/bram_array[5].buffer_server/SR[0] | 3 | 10 | 3.33 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[29].ngFEC_module/bram_array[8].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[30].ngFEC_module/bram_array[9].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[22].ngFEC_module/bram_array[8].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[16].ngFEC_module/bram_array[3].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[8].buffer_server/ipb_rst_reg[0] | SFP_GEN[6].ngFEC_module/bram_array[8].buffer_server/SR[0] | 2 | 10 | 5.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[8].ngFEC_module/bram_array[13].buffer_server/SR[0] | 4 | 10 | 2.50 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 3 | 10 | 3.33 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[4].buffer_server/ipb_rst_reg[0] | SFP_GEN[38].ngFEC_module/bram_array[4].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[16].ngFEC_module/bram_array[2].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[0].buffer_server/ipb_rst_reg[0] | SFP_GEN[30].ngFEC_module/bram_array[0].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[6].ngFEC_module/bram_array[9].buffer_server/SR[0] | 3 | 10 | 3.33 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 2 | 10 | 5.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[9].buffer_server/ipb_rst_reg[0] | SFP_GEN[22].ngFEC_module/bram_array[9].buffer_server/SR[0] | 5 | 10 | 2.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[38].ngFEC_module/bram_array[3].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[22].ngFEC_module/bram_array[2].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[8].ngFEC_module/bram_array[2].buffer_server/SR[0] | 3 | 10 | 3.33 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 1 | 10 | 10.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[6].buffer_server/ipb_rst_reg[0] | SFP_GEN[30].ngFEC_module/bram_array[6].buffer_server/SR[0] | 4 | 10 | 2.50 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[3].buffer_server/ipb_rst_reg[0] | SFP_GEN[8].ngFEC_module/bram_array[3].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[13].buffer_server/ipb_rst_reg[0] | SFP_GEN[16].ngFEC_module/bram_array[13].buffer_server/SR[0] | 3 | 10 | 3.33 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[2].buffer_server/ipb_rst_reg[0] | SFP_GEN[38].ngFEC_module/bram_array[2].buffer_server/SR[0] | 3 | 10 | 3.33 | | tx_wordclk | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 | 1 | 10 | 10.00 | | CLK | ipb/trans/sm/regs_reg[36][0]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__14_7 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__0_9 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__14_6 | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[38][27]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__14_1 | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[38][5]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[37][7]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[38][1]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[37][27]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[37][3]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[38][28]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[41].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__40_n_0 | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[38][24]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[38][9]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__0_3 | 4 | 11 | 2.75 | | CLKFBIN | eth/mac/i_mac/emacclientrxdvld_reg_0 | ipb/udp_if/payload/hi_byte_calc.clr_sum_buf_reg_0[0] | 2 | 11 | 5.50 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__13_7 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__13_24 | 4 | 11 | 2.75 | | CLK | SFP_GEN[41].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__40_n_0 | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[42].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__41_n_0 | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__14_23 | 3 | 11 | 3.67 | | CLK | SFP_GEN[18].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__17_n_0 | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[42].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__41_n_0 | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[41].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__40_n_0 | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[42].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__41_n_0 | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[39][10]_0[0] | ipb_rst_BUFG | 8 | 11 | 1.38 | | CLK | ipb/trans/sm/regs_reg[39][18]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLKFBIN | ipb/udp_if/payload/address_block.addr_int[10]_i_1_n_0 | | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__14_15 | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[37][4]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__13_9 | 5 | 11 | 2.20 | | CLKFBIN | ipb/udp_if/rx_packet_parser/reliable_data | ipb/udp_if/rx_packet_parser/bigendian.reliable_data[11]_i_1_n_0 | 2 | 11 | 5.50 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__13_3 | 4 | 11 | 2.75 | | CLKFBIN | ipb/udp_if/rx_packet_parser/unreliable_data[29] | ipb/udp_if/rx_reset_block/SR[0] | 2 | 11 | 5.50 | | CLK | ipb/trans/sm/regs_reg[37][2]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[39][20]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLKFBIN | ipb/udp_if/tx_main/E[0] | ipb/udp_if/tx_main/udp_control_build.int_valid_int_reg_1[0] | 2 | 11 | 5.50 | | CLK | ipb/trans/sm/regs_reg[38][2]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[38][20]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[15].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__14_n_0 | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[38][3]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[38][4]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[39][0]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[38][8]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[38][12]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[37][5]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[38][26]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[37][9]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[23].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__22_n_0 | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__0_21 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__14_5 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__0_19 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__14_12 | 4 | 11 | 2.75 | | CLK | SFP_GEN[22].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__21_n_0 | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__13_19 | 5 | 11 | 2.20 | | CLK | SFP_GEN[10].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__9_n_0 | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__0_11 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__14_14 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__13_8 | 3 | 11 | 3.67 | | CLK | SFP_GEN[4].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__3_n_0 | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__15_17 | 4 | 11 | 2.75 | | CLK | SFP_GEN[44].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__43_n_0 | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep_23 | 2 | 11 | 5.50 | | CLK | SFP_GEN[38].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__37_n_0 | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__15_16 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__14_18 | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[38][16]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[38].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__37_n_0 | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[37][28]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[37][8]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[39][9]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[40][19]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[41][10]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[41][13]_0[0] | ipb_rst_BUFG | 7 | 11 | 1.57 | | CLK | ipb/trans/sm/regs_reg[40][23]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[4].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__3_n_0 | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[41][17]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[41][27]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[41][29]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep_5 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__15_15 | 4 | 11 | 2.75 | | CLK | SFP_GEN[38].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__37_n_0 | ipb_rst_BUFG | 7 | 11 | 1.57 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__15_14 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__15_10 | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[41][2]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[16].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__15_n_0 | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep_9 | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[21][24]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[21][16]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[21][11]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[21][21]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[21][25]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[20][2]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[21][19]_0[0] | ipb_rst_BUFG | 2 | 11 | 5.50 | | CLK | ipb/trans/sm/regs_reg[20][6]_0[0] | ipb_rst_BUFG | 7 | 11 | 1.57 | | CLK | ipb/trans/sm/regs_reg[21][18]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[20][0]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[20][11]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[20][4]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[20][17]_0[0] | ipb_rst_BUFG | 2 | 11 | 5.50 | | CLK | ipb/trans/sm/regs_reg[20][10]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[20][19]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[20][25]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[20][7]_0[0] | ipb_rst_BUFG | 7 | 11 | 1.57 | | CLK | ipb/trans/sm/regs_reg[20][9]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[20][1]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[20][24]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[21][0]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[20][22]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[20][8]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[20][29]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[20][3]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[20][5]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[20][21]_0[0] | ipb_rst_BUFG | 7 | 11 | 1.57 | | CLK | ipb/trans/sm/regs_reg[21][12]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[21][1]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[20][23]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[20][12]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[21][10]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[20][13]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[21][20]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[20][18]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[20][27]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[20][28]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[20][20]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[20][26]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[21][13]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[21][22]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[20][16]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[21][23]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[21][17]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[23][11]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[22][10]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[22][22]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[22][8]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[23][10]_0[0] | ipb_rst_BUFG | 7 | 11 | 1.57 | | CLK | ipb/trans/sm/regs_reg[22][17]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[23][16]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[23][1]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[22][26]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[23][20]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[23][22]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[22][2]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[23][12]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[23][18]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[22][0]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[22][28]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[22][5]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[23][17]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[21][7]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[22][16]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[22][3]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[23][23]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[21][4]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[23][27]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[23][28]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[23][24]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[23][26]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[23][29]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[23][2]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[22][20]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[22][23]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[21][26]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[22][4]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[22][24]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[22][6]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[21][8]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[22][27]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[22][29]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[23][19]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[22][9]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[22][11]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[21][29]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[22][13]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[23][21]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[23][3]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[21][6]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[22][1]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[22][21]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[22][7]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[23][5]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[21][27]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[22][25]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[21][28]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[21][9]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[21][2]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[22][12]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[23][0]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[23][25]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[23][4]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[22][19]_0[0] | ipb_rst_BUFG | 2 | 11 | 5.50 | | CLK | ipb/trans/sm/regs_reg[22][18]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[23][13]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[21][3]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[21][5]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[24][26]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[24][29]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[25][11]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[25][12]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[25][27]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[24][22]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[25][28]_0[0] | ipb_rst_BUFG | 2 | 11 | 5.50 | | CLK | ipb/trans/sm/regs_reg[25][21]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[25][29]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[25][2]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[24][19]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[25][3]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[24][24]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[25][4]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[25][5]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[24][21]_0[0] | ipb_rst_BUFG | 8 | 11 | 1.38 | | CLK | ipb/trans/sm/regs_reg[25][7]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[25][8]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[23][8]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[25][9]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[26][0]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[26][10]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[26][11]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[25][19]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[26][12]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[24][11]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[24][28]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[24][25]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[25][18]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[25][23]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[25][25]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[25][6]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[24][13]_0[0] | ipb_rst_BUFG | 2 | 11 | 5.50 | | CLK | ipb/trans/sm/regs_reg[24][1]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[25][0]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[23][6]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[24][20]_0[0] | ipb_rst_BUFG | 2 | 11 | 5.50 | | CLK | ipb/trans/sm/regs_reg[24][0]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[24][17]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[24][23]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[24][2]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[23][9]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[24][3]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[24][5]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[24][7]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[25][10]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[25][13]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[25][16]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[24][8]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[24][6]_0[0] | ipb_rst_BUFG | 2 | 11 | 5.50 | | CLK | ipb/trans/sm/regs_reg[24][16]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[25][17]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[25][1]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[24][27]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[25][20]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[23][7]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[25][22]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[25][24]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[24][9]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[25][26]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[24][10]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[24][18]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[24][4]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[24][12]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[26][25]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[27][20]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[27][24]_0[0] | ipb_rst_BUFG | 2 | 11 | 5.50 | | CLK | ipb/trans/sm/regs_reg[27][11]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[27][4]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[27][6]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[27][8]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[27][9]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[26][2]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[28][0]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[28][10]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[26][6]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[28][11]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[28][12]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[28][13]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[26][4]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[26][9]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[28][16]_0[0] | ipb_rst_BUFG | 7 | 11 | 1.57 | | CLK | ipb/trans/sm/regs_reg[26][7]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[28][18]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[27][23]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[28][19]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[27][29]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[27][2]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[28][1]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[27][7]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[28][20]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[27][28]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[27][5]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[27][0]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[28][21]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[26][23]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[26][8]_0[0] | ipb_rst_BUFG | 7 | 11 | 1.57 | | CLK | ipb/trans/sm/regs_reg[27][19]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[26][29]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[26][19]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[26][3]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[26][16]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[26][5]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[27][12]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[26][17]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[26][20]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[26][24]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[27][16]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[27][1]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[27][22]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[27][10]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[26][21]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[26][1]_0[0] | ipb_rst_BUFG | 7 | 11 | 1.57 | | CLK | ipb/trans/sm/regs_reg[27][13]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[26][26]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[26][27]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[26][28]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[27][17]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[27][26]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[27][3]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[27][25]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[26][22]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[27][18]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[27][21]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[27][27]_0[0] | ipb_rst_BUFG | 2 | 11 | 5.50 | | CLK | ipb/trans/sm/regs_reg[26][18]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[26][13]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[29][29]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[28][23]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[30][19]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[30][1]_0[0] | ipb_rst_BUFG | 7 | 11 | 1.57 | | CLK | ipb/trans/sm/regs_reg[30][20]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[30][21]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[30][23]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[30][24]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[29][25]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[29][28]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[30][22]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[30][25]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[30][27]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[30][29]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[28][4]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[30][16]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[30][26]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[30][28]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[28][5]_0[0] | ipb_rst_BUFG | 7 | 11 | 1.57 | | CLK | ipb/trans/sm/regs_reg[29][12]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[29][24]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[29][27]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[29][21]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[28][28]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[28][29]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[28][6]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[29][0]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[29][10]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[28][27]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[29][2]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[29][4]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[29][16]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[28][22]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[29][5]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[29][8]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[28][25]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[28][7]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[28][2]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[28][9]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[29][11]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[29][26]_0[0] | ipb_rst_BUFG | 2 | 11 | 5.50 | | CLK | ipb/trans/sm/regs_reg[29][19]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[28][8]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[29][7]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[30][0]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[29][23]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[29][13]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[29][9]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[28][3]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[30][10]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[29][17]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[30][13]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[29][18]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[29][3]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[29][1]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[30][12]_0[0] | ipb_rst_BUFG | 7 | 11 | 1.57 | | CLK | ipb/trans/sm/regs_reg[29][6]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[28][24]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[30][11]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[30][17]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[28][26]_0[0] | ipb_rst_BUFG | 2 | 11 | 5.50 | | CLK | ipb/trans/sm/regs_reg[30][18]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[29][20]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[29][22]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[32][10]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[32][11]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[32][22]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[32][27]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[32][28]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[32][3]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[32][5]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[32][7]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[31][23]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[32][8]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[32][9]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[31][27]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[31][5]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[31][7]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[32][19]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[31][25]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[32][21]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[32][23]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[30][6]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[30][4]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[31][28]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[32][20]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[32][6]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[32][12]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[32][29]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[32][17]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[31][13]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[32][4]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[30][7]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[31][11]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[31][2]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[31][0]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[31][29]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[31][3]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[31][9]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[31][22]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[31][24]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[31][17]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[31][26]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[32][18]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[30][9]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[31][18]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[31][8]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[32][0]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[31][12]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[31][10]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[32][16]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[32][1]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[32][24]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[30][2]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[31][4]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[31][1]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[31][20]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[30][5]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[31][21]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[32][13]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[30][8]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[31][16]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[32][25]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[32][26]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[30][3]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[32][2]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[31][19]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[31][6]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[34][13]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[34][1]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[33][2]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[34][29]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[35][10]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[33][26]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[35][13]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[35][17]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[34][18]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[35][18]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[33][22]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[33][0]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[33][28]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[34][20]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[34][24]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[33][25]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[34][26]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[35][16]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[33][11]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[33][5]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[34][17]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[34][25]_0[0] | ipb_rst_BUFG | 7 | 11 | 1.57 | | CLK | ipb/trans/sm/regs_reg[34][12]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[34][22]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[34][2]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[33][17]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[34][4]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[34][21]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[34][5]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[35][11]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[35][12]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[33][7]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[33][19]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[33][23]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[34][23]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[33][24]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[33][27]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[33][13]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[33][20]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[34][19]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[34][7]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[33][12]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[33][4]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[34][0]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[33][29]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[33][3]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[33][6]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[34][16]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[34][27]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[34][28]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[33][1]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[33][8]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[33][9]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[34][3]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[34][10]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[33][10]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[34][6]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[34][8]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[34][9]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[35][0]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[33][16]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[33][21]_0[0] | ipb_rst_BUFG | 7 | 11 | 1.57 | | CLK | ipb/trans/sm/regs_reg[34][11]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[33][18]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[35][21]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[36][19]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[36][22]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[36][25]_0[0] | ipb_rst_BUFG | 2 | 11 | 5.50 | | CLK | ipb/trans/sm/regs_reg[36][28]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[36][8]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[36][10]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[36][9]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[37][1]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[37][21]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[37][0]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[35][9]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[37][23]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[35][5]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[37][13]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[37][17]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[35][1]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[37][24]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[37][22]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[37][20]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[37][16]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[35][7]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[37][25]_0[0] | ipb_rst_BUFG | 7 | 11 | 1.57 | | CLK | ipb/trans/sm/regs_reg[35][19]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[35][28]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[35][27]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[35][3]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[35][24]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[35][20]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[35][22]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[36][17]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[36][1]_0[0] | ipb_rst_BUFG | 2 | 11 | 5.50 | | CLK | ipb/trans/sm/regs_reg[36][21]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[35][23]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[35][4]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[36][20]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[36][24]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[36][12]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[36][26]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[35][6]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[35][2]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[36][27]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[36][29]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[36][3]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[36][6]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[36][7]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[37][10]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[36][4]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[35][8]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[36][2]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[37][11]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[36][18]_0[0] | ipb_rst_BUFG | 2 | 11 | 5.50 | | CLK | ipb/trans/sm/regs_reg[35][26]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[36][23]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[35][29]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[37][12]_0[0] | ipb_rst_BUFG | 2 | 11 | 5.50 | | CLK | ipb/trans/sm/regs_reg[37][18]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[36][5]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[37][19]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[36][11]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[36][16]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[36][13]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[35][25]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[38][29]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[38][11]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[38][7]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[39][17]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[39][21]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[39][22]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__4_22 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__4_12 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__4_4 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__4_11 | 3 | 11 | 3.67 | | CLK | SFP_GEN[16].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__15_n_0 | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__5_3 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__4_1 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__6_16 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__6_13 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__6_15 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__5_22 | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__3_9 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__5_1 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__6_14 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__5_18 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__5_24 | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[41][3]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__5_8 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__4_18 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__5_4 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__6_12 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__6_11 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__5_11 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__5_21 | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[41][4]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__4_16 | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[41][5]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__5_12 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__15_13 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__5_13 | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[41][6]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__5_10 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__5_2 | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[40][16]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__6_10 | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[40][1]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__6_1 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__4_10 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__4_25 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__5_7 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__4_5 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__4_17 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__4_8 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep_17 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__5_6 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__5_5 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__4_15 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__5_20 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__14_4 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__5_16 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__5_15 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__5_25 | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__4_13 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__5_9 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__4_14 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__4_20 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__4_19 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__13_10 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__4_3 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__5_14 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__4_24 | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[40][4]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__4_9 | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[41][11]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__3_8 | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[41][7]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__2_3 | 5 | 11 | 2.20 | | CLK | SFP_GEN[11].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__10_n_0 | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__3_3 | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[41][9]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__5_17 | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__3_25 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__3_22 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__4_6 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__2_6 | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[42][0]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__4_7 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__5_19 | 5 | 11 | 2.20 | | CLK | SFP_GEN[11].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__10_n_0 | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__3_7 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__3_6 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__4_2 | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__4_21 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__2_18 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__8_19 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__8_2 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__6_9 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__3_15 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__7_24 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__8_11 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__2_8 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__8_21 | 5 | 11 | 2.20 | | CLK | SFP_GEN[23].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__22_n_0 | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__2_4 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__8_22 | 2 | 11 | 5.50 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__8_24 | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__3_24 | 3 | 11 | 3.67 | | CLK | SFP_GEN[16].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__15_n_0 | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__7_6 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__13_11 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__3_21 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep_15 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__3_18 | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__3_11 | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[40][7]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__15_12 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__3_1 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__6_26 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__8_1 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__3_17 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__6_4 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__6_22 | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__2_5 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__6_6 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__3_10 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__7_18 | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[42][10]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__13_23 | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[40][29]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[40][0]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__2_22 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__3_5 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__6_5 | 4 | 11 | 2.75 | | CLK | SFP_GEN[11].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__10_n_0 | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__3_13 | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[40][2]_0[0] | ipb_rst_BUFG | 7 | 11 | 1.57 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__7_2 | 4 | 11 | 2.75 | | CLK | SFP_GEN[35].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__34_n_0 | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__7_1 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__7_14 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__2_16 | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[40][12]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__6_24 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__7_23 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__3_20 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__8_10 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__3_14 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__7_21 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__8_12 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__2_14 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__15_11 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__8_16 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__3_2 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__6_23 | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__8_18 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__2_10 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__7_5 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__3_12 | 2 | 11 | 5.50 | | CLK | SFP_GEN[31].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__30_n_0 | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__2_2 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__8_20 | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[40][3]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__6_17 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__14_3 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__7_9 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__3_19 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__8_23 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__3_4 | 2 | 11 | 5.50 | | CLK | SFP_GEN[15].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__14_n_0 | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__6_20 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__6_3 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__3_16 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__3_23 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__6_2 | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__6_21 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__2_1 | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[41][22]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__7_11 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__2_12 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__6_7 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__6_25 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__2_24 | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[41][23]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__2_20 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__7_10 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__7_17 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__24_3 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__24_9 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__7_13 | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[41][24]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__24_12 | 5 | 11 | 2.20 | | CLK | SFP_GEN[31].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__30_n_0 | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[39][6]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__24_13 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__25_5 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__7_20 | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[41][26]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__24_24 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__25_4 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__7_19 | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[41][8]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__25_22 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__25_20 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__7_22 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__6_19 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__24_16 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__7_16 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__25_18 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__7_25 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__24_2 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__7_7 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__7_8 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__26_9 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__6_8 | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[42][11]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__26_7 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__24_6 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__26_13 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__26_11 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__26_10 | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[42][12]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__25_9 | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[40][13]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__14_22 | 5 | 11 | 2.20 | | CLK | SFP_GEN[28].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__27_n_0 | ipb_rst_BUFG | 8 | 11 | 1.38 | | CLK | ipb/trans/sm/regs_reg[40][20]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__25_8 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__7_4 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__25_10 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__7_12 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__25_2 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__8_13 | 5 | 11 | 2.20 | | CLK | SFP_GEN[31].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__30_n_0 | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__25_17 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__8_14 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__25_3 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__7_15 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__8_15 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__25_26 | 5 | 11 | 2.20 | | CLK | SFP_GEN[26].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__25_n_0 | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__6_18 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__8_17 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__25_13 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__25_12 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__7_3 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__9_17 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__24_23 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__9_20 | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__9_8 | 5 | 11 | 2.20 | | CLK | SFP_GEN[35].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__34_n_0 | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__24_18 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__24_20 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__8_3 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__9_18 | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__26_8 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__9_15 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__14_13 | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[40][26]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__24_4 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__24_21 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__9_6 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__9_1 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__24_22 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__9_19 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__9_10 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__13_17 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__24_19 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__26_6 | 2 | 11 | 5.50 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__9_7 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__9_9 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__26_5 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__9_4 | 5 | 11 | 2.20 | | CLK | SFP_GEN[33].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__32_n_0 | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__25_7 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__9_12 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__25_25 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__9_11 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__25_21 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__14_24 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__8_25 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__25_1 | 3 | 11 | 3.67 | | CLK | SFP_GEN[15].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__14_n_0 | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__24_7 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__9_5 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__9_13 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__25_15 | 3 | 11 | 3.67 | | CLK | SFP_GEN[33].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__32_n_0 | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__8_4 | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[41][12]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__24_14 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__24_5 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__8_5 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__9_23 | 2 | 11 | 5.50 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__25_16 | 4 | 11 | 2.75 | | CLK | SFP_GEN[33].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__32_n_0 | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__9_24 | 4 | 11 | 2.75 | | CLK | SFP_GEN[23].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__22_n_0 | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__24_8 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__9_22 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__25_14 | 4 | 11 | 2.75 | | CLK | SFP_GEN[26].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__25_n_0 | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__25_23 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__9_2 | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[40][6]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[40][22]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__25_11 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__24_17 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__15_1 | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[39][7]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__26_4 | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[40][11]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__9_16 | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[41][16]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__26_12 | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__24_11 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__9_25 | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[41][1]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__25_24 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__9_3 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__8_7 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__25_19 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__24_15 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__25_6 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__23_14 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__21_4 | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[40][28]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__23_25 | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[41][21]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[0].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1_n_0 | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[41][28]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[40][18]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[41][0]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__22_15 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__22_3 | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[40][17]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__22_19 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__8_9 | 2 | 11 | 5.50 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__9_14 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__22_14 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__9_21 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__22_13 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__8_6 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__8_8 | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[40][27]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__23_23 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__14_25 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__21_5 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__23_16 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__14_20 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__23_20 | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[40][5]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__23_19 | 5 | 11 | 2.20 | | CLK | SFP_GEN[17].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__16_n_0 | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__23_18 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__10_11 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__14_17 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__22_4 | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[40][9]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[41][20]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__23_12 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__22_2 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__14_10 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__21_9 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__23_15 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__10_10 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__23_13 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__13_2 | 3 | 11 | 3.67 | | CLK | SFP_GEN[17].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__16_n_0 | ipb_rst_BUFG | 7 | 11 | 1.57 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__23_11 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__21_8 | 5 | 11 | 2.20 | | CLK | SFP_GEN[0].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1_n_0 | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__22_17 | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__0_15 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__21_2 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__13_15 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__22_9 | 5 | 11 | 2.20 | | CLK | SFP_GEN[39].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__38_n_0 | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__22_5 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__13_6 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__22_8 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__13_18 | 2 | 11 | 5.50 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__22_18 | 5 | 11 | 2.20 | | CLK | SFP_GEN[24].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__23_n_0 | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__22_12 | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[40][10]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__10_1 | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[40][25]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__22_10 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__23_3 | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[41][19]_0[0] | ipb_rst_BUFG | 7 | 11 | 1.57 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__23_1 | 3 | 11 | 3.67 | | CLK | SFP_GEN[3].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__2_n_0 | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep_11 | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__13_13 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__21_6 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__13_20 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__23_22 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__22_11 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__23_21 | 4 | 11 | 2.75 | | CLK | SFP_GEN[7].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__6_n_0 | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__23_2 | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[40][24]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__21_19 | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[41][18]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[41][25]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[40][8]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__24_10 | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[40][21]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[39][8]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[42][24]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__23_5 | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__22_16 | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[42][13]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__21_20 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__24_1 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__23_8 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__22_7 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__13_22 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__0_7 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__22_1 | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[42][6]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[42][4]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__13_12 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__23_26 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__21_3 | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[43][11]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[42][8]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__22_6 | 5 | 11 | 2.20 | | CLK | SFP_GEN[7].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__6_n_0 | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[43][27]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__23_17 | 4 | 11 | 2.75 | | CLK | SFP_GEN[14].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__13_n_0 | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__23_10 | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[42][20]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[42][23]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__21_7 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__14_11 | 4 | 11 | 2.75 | | CLK | SFP_GEN[35].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__34_n_0 | ipb_rst_BUFG | 7 | 11 | 1.57 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__13_1 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__23_9 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__23_7 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep_21 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__23_6 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__14_8 | 4 | 11 | 2.75 | | CLK | SFP_GEN[24].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__23_n_0 | ipb_rst_BUFG | 7 | 11 | 1.57 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep_13 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__23_4 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__21_21 | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[42][7]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[12].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__11_n_0 | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__13_4 | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[43][12]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__23_24 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__20_21 | 4 | 11 | 2.75 | | CLK | SFP_GEN[14].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__13_n_0 | ipb_rst_BUFG | 2 | 11 | 5.50 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep_7 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__20_1 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__13_25 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep_1 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__14_16 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__21_18 | 5 | 11 | 2.20 | | CLK | SFP_GEN[24].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__23_n_0 | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__14_19 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__14_9 | 2 | 11 | 5.50 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__20_22 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__13_5 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__14_21 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__21_17 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__21_10 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__12_19 | 3 | 11 | 3.67 | | CLK | SFP_GEN[0].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1_n_0 | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__20_20 | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__11_3 | 4 | 11 | 2.75 | | CLK | SFP_GEN[19].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__18_n_0 | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[25].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__24_n_0 | ipb_rst_BUFG | 7 | 11 | 1.57 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__21_14 | 6 | 11 | 1.83 | | CLK | SFP_GEN[25].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__24_n_0 | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__20_23 | 3 | 11 | 3.67 | | CLK | SFP_GEN[2].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__1_n_0 | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[19].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__18_n_0 | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__21_16 | 3 | 11 | 3.67 | | CLK | SFP_GEN[19].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__18_n_0 | ipb_rst_BUFG | 7 | 11 | 1.57 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__21_15 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__11_14 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__21_13 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__11_13 | 3 | 11 | 3.67 | | CLK | SFP_GEN[2].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__1_n_0 | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__21_12 | 3 | 11 | 3.67 | | CLK | SFP_GEN[14].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__13_n_0 | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[12].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__11_n_0 | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__20_14 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__12_16 | 3 | 11 | 3.67 | | CLK | SFP_GEN[7].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__6_n_0 | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__1_2 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__20_4 | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[43][4]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[43][28]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__12_18 | 3 | 11 | 3.67 | | CLK | SFP_GEN[18].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__17_n_0 | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[18].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__17_n_0 | ipb_rst_BUFG | 7 | 11 | 1.57 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__20_11 | 3 | 11 | 3.67 | | CLK | SFP_GEN[2].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__1_n_0 | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__11_16 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__20_17 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__12_15 | 2 | 11 | 5.50 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__20_15 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__20_13 | 2 | 11 | 5.50 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__1_10 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__1_6 | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[43][18]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[43][1]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep_19 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__12_14 | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[8][19]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__1_4 | 3 | 11 | 3.67 | | CLK | SFP_GEN[12].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__11_n_0 | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[21].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__20_n_0 | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__1_20 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__12_11 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__11_23 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__1_22 | 5 | 11 | 2.20 | | CLK | SFP_GEN[27].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__26_n_0 | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__20_19 | 2 | 11 | 5.50 | | CLK | ipb/trans/sm/regs_reg[43][0]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__11_10 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__0_n_0 | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__20_18 | 5 | 11 | 2.20 | | CLK | SFP_GEN[21].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__20_n_0 | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__1_24 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__12_13 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__10_20 | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[42][1]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__20_24 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__12_12 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__21_11 | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[42][3]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__0_5 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__20_5 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__1_14 | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[42][28]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[22].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__21_n_0 | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__21_1 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__11_4 | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[42][22]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__12_10 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__20_9 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__1_12 | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[42][17]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__20_8 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__0_23 | 2 | 11 | 5.50 | | CLK | ipb/trans/sm/regs_reg[42][29]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__20_26 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__12_1 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__20_7 | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[42][25]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__20_6 | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[43][16]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[43][19]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__20_25 | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[43][13]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[42][27]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__1_8 | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[43][23]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__20_16 | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[8][16]_0[0] | ipb_rst_BUFG | 7 | 11 | 1.57 | | CLK | ipb/trans/sm/regs_reg[8][17]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[21].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__20_n_0 | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[42][9]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__20_2 | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[8][18]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__20_10 | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[43][17]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[39].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__38_n_0 | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[36].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__35_n_0 | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__1_16 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__20_12 | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__11_15 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__10_7 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__10_23 | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__11_7 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__1_18 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__19_7 | 5 | 11 | 2.20 | | CLK | SFP_GEN[25].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__24_n_0 | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__11_17 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__19_13 | 4 | 11 | 2.75 | | CLK | SFP_GEN[26].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__25_n_0 | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__1_1 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__19_2 | 4 | 11 | 2.75 | | CLK | SFP_GEN[8].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__7_n_0 | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__19_16 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__11_12 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__10_4 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__18_24 | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__10_24 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__18_7 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__18_4 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__18_23 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__18_22 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__18_14 | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[43][29]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__18_11 | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[42][21]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__11_6 | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[43][7]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__18_16 | 4 | 11 | 2.75 | | CLK | SFP_GEN[28].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__27_n_0 | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__19_24 | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[43][2]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[8][20]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__11_5 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__19_21 | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[8][21]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__18_8 | 4 | 11 | 2.75 | | CLK | SFP_GEN[36].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__35_n_0 | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[43][3]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__11_2 | 5 | 11 | 2.20 | | tx_wordclk | TX_CLKEN_repN_33 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbt_txreset_s[0] | 8 | 11 | 1.38 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__19_10 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__4_23 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__19_18 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__10_22 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__19_15 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__11_21 | 5 | 11 | 2.20 | | CLK | SFP_GEN[20].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__19_n_0 | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[34].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__33_n_0 | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__18_5 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__11_1 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__18_9 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__17_8 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__11_22 | 4 | 11 | 2.75 | | CLK | SFP_GEN[20].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__19_n_0 | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__18_13 | 5 | 11 | 2.20 | | CLK | SFP_GEN[29].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__28_n_0 | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__18_10 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__18_3 | 6 | 11 | 1.83 | | CLK | SFP_GEN[34].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__33_n_0 | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[20].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__19_n_0 | ipb_rst_BUFG | 7 | 11 | 1.57 | | CLK | SFP_GEN[28].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__27_n_0 | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__17_4 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__10_25 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__11_18 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__18_21 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__17_3 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__18_2 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__10_21 | 5 | 11 | 2.20 | | CLK | SFP_GEN[34].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__33_n_0 | ipb_rst_BUFG | 7 | 11 | 1.57 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__11_11 | 4 | 11 | 2.75 | | CLK | SFP_GEN[32].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__31_n_0 | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[9].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__8_n_0 | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__19_3 | 2 | 11 | 5.50 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__19_25 | 5 | 11 | 2.20 | | CLK | SFP_GEN[9].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__8_n_0 | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[9].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__8_n_0 | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__19_23 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__18_20 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__10_8 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__17_6 | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[8][22]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__10_6 | 4 | 11 | 2.75 | | CLK | SFP_GEN[29].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__28_n_0 | ipb_rst_BUFG | 7 | 11 | 1.57 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__19_5 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__19_4 | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[8][23]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__11_9 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__19_19 | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[8][24]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__10_2 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__19_11 | 3 | 11 | 3.67 | | CLK | SFP_GEN[36].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__35_n_0 | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__18_6 | 4 | 11 | 2.75 | | CLK | SFP_GEN[3].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__2_n_0 | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__12_9 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__17_7 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__5_23 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__10_19 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__18_15 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__18_25 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__12_8 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__0_n_0 | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__19_14 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__11_25 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep_3 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__19_12 | 2 | 11 | 5.50 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__12_7 | 2 | 11 | 5.50 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__19_1 | 4 | 11 | 2.75 | | CLK | SFP_GEN[29].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__28_n_0 | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__18_19 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__12_6 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__18_1 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__12_5 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__17_5 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__18_17 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__17_9 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__19_9 | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__19_17 | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[42][19]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[43][20]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__19_8 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__10_26 | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[28][17]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[43][9]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__12_4 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__18_18 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__19_20 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__11_20 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__18_12 | 3 | 11 | 3.67 | | CLK | SFP_GEN[10].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__9_n_0 | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[10].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__9_n_0 | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[42][26]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__19_6 | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[42][2]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__19_22 | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[43][10]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__15_24 | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[43][21]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[43][25]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__15_21 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__12_3 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__16_8 | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[43][26]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[43][8]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__16_7 | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[43][6]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[32].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__31_n_0 | ipb_rst_BUFG | 7 | 11 | 1.57 | | CLK | ipb/trans/sm/regs_reg[42][5]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__16_25 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__16_23 | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[43][5]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[43][22]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__16_1 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__12_25 | 4 | 11 | 2.75 | | CLK | SFP_GEN[3].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__2_n_0 | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__15_5 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__16_20 | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[43][24]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__16_10 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__11_8 | 3 | 11 | 3.67 | | CLK | SFP_GEN[32].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__31_n_0 | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[42][16]_0[0] | ipb_rst_BUFG | 7 | 11 | 1.57 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__15_8 | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[42][18]_0[0] | ipb_rst_BUFG | 8 | 11 | 1.38 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__15_23 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__10_18 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__15_25 | 4 | 11 | 2.75 | | CLK | SFP_GEN[5].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__4_n_0 | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[5].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__4_n_0 | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__17_25 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__17_23 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__17_2 | 4 | 11 | 2.75 | | CLK | SFP_GEN[5].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__4_n_0 | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[45].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__44_n_0 | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__17_17 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__17_16 | 5 | 11 | 2.20 | | CLK | SFP_GEN[40].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__39_n_0 | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[45].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__44_n_0 | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__15_2 | 3 | 11 | 3.67 | | CLK | SFP_GEN[45].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__44_n_0 | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[39].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__38_n_0 | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__17_14 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__17_11 | 6 | 11 | 1.83 | | CLK | SFP_GEN[22].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__21_n_0 | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[46].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__45_n_0 | ipb_rst_BUFG | 7 | 11 | 1.57 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__16_22 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__12_20 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__12_24 | 5 | 11 | 2.20 | | CLK | SFP_GEN[37].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__36_n_0 | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__15_6 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__10_17 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__15_19 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__12_23 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__16_2 | 6 | 11 | 1.83 | | CLK | SFP_GEN[40].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__39_n_0 | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__0_n_0 | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__16_16 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__12_17 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__15_22 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__16_15 | 4 | 11 | 2.75 | | CLK | SFP_GEN[40].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__39_n_0 | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__12_2 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__10_16 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__16_14 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__15_7 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__10_9 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__16_6 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__0_1 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__16_4 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__15_20 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__17_15 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__15_9 | 3 | 11 | 3.67 | | CLK | SFP_GEN[46].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__45_n_0 | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__15_3 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__17_12 | 3 | 11 | 3.67 | | CLK | SFP_GEN[46].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__45_n_0 | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[13].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__12_n_0 | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__11_24 | 3 | 11 | 3.67 | | CLK | SFP_GEN[43].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__42_n_0 | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__16_5 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__10_15 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__17_24 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__17_22 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__11_19 | 3 | 11 | 3.67 | | CLK | SFP_GEN[13].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__12_n_0 | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__0_13 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__15_4 | 2 | 11 | 5.50 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__17_21 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__10_3 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__16_11 | 4 | 11 | 2.75 | | CLK | SFP_GEN[27].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__26_n_0 | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[13].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__12_n_0 | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__17_20 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__12_22 | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__16_24 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__10_14 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__17_19 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__12_21 | 5 | 11 | 2.20 | | CLK | SFP_GEN[43].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__42_n_0 | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__16_3 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__10_13 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__16_18 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__10_5 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__16_19 | 6 | 11 | 1.83 | | CLK | SFP_GEN[43].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__42_n_0 | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep_25 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__16_13 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__16_17 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__20_3 | 5 | 11 | 2.20 | | CLK | SFP_GEN[30].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__29_n_0 | ipb_rst_BUFG | 7 | 11 | 1.57 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__16_26 | 5 | 11 | 2.20 | | CLK | SFP_GEN[8].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__7_n_0 | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[6].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__5_n_0 | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[30].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__29_n_0 | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__17_10 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__15_18 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__10_12 | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__0_17 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__17_18 | 5 | 11 | 2.20 | | CLK | SFP_GEN[47].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__46_n_0 | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[6].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__5_n_0 | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__17_13 | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__17_1 | 4 | 11 | 2.75 | | CLK | SFP_GEN[6].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__5_n_0 | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[47].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__46_n_0 | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__16_9 | 5 | 11 | 2.20 | | CLK | SFP_GEN[47].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__46_n_0 | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[8].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__7_n_0 | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__16_21 | 5 | 11 | 2.20 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__16_12 | 3 | 11 | 3.67 | | CLK | SFP_GEN[17].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__16_n_0 | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__13_16 | 3 | 11 | 3.67 | | CLK | SFP_GEN[44].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__43_n_0 | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[30].ngFEC_module/buffer_ngccm_jtag/data_length[10]_i_1__29_n_0 | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__13_21 | 3 | 11 | 3.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__14_2 | 4 | 11 | 2.75 | | CLK | SFP_GEN[44].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__43_n_0 | ipb_rst_BUFG | 7 | 11 | 1.57 | | CLK | SFP_GEN[37].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__36_n_0 | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb/trans/sm/addr_reg[1]_rep__13_14 | 4 | 11 | 2.75 | | CLK | SFP_GEN[4].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__3_n_0 | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | SFP_GEN[27].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_addr][10]_i_1__26_n_0 | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[37][29]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[38][13]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[38][22]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[38][0]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[37][6]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[37][26]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[39][19]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[38][23]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[38][17]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[38][25]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[38][18]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[39][13]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[39][12]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[38][19]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[39][11]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[38][10]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[39][5]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[39][4]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[39][3]_0[0] | ipb_rst_BUFG | 7 | 11 | 1.57 | | CLK | ipb/trans/sm/regs_reg[39][2]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | ipb/trans/sm/regs_reg[39][1]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[39][29]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | SFP_GEN[37].ngFEC_module/buffer_ngccm_jtag/words[10]_i_1__36_n_0 | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[39][16]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[39][28]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[39][27]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[39][26]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[39][25]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[39][24]_0[0] | ipb_rst_BUFG | 4 | 11 | 2.75 | | CLK | ipb/trans/sm/regs_reg[38][6]_0[0] | ipb_rst_BUFG | 3 | 11 | 3.67 | | CLK | ipb/trans/sm/regs_reg[38][21]_0[0] | ipb_rst_BUFG | 5 | 11 | 2.20 | | CLK | ipb/trans/sm/regs_reg[39][23]_0[0] | ipb_rst_BUFG | 6 | 11 | 1.83 | | CLK | i_I2C_if/I2C_array[5].buffer_server/E[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__4_n_0 | SFP_GEN[25].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 4 | 12 | 3.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__41_n_0 | SFP_GEN[24].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 4 | 12 | 3.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__18_n_0 | SFP_GEN[38].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 5 | 12 | 2.40 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__19_n_0 | SFP_GEN[37].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 4 | 12 | 3.00 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__13_n_0 | SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 5 | 12 | 2.40 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__33_n_0 | SFP_GEN[36].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 6 | 12 | 2.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__40_n_0 | SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 7 | 12 | 1.71 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__30_n_0 | SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 3 | 12 | 4.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__17_n_0 | SFP_GEN[33].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 5 | 12 | 2.40 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__38_n_0 | SFP_GEN[39].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 5 | 12 | 2.40 | | CLK | SFP_GEN[28].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__43_n_0 | SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 6 | 12 | 2.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[28].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 1 | 12 | 12.00 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__34_n_0 | SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 3 | 12 | 4.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__32_n_0 | SFP_GEN[32].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 7 | 12 | 1.71 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__12_n_0 | SFP_GEN[40].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 5 | 12 | 2.40 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[28].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[28].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 1 | 12 | 12.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[29].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[28].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__25_n_0 | SFP_GEN[31].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 5 | 12 | 2.40 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[29].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__15_n_0 | SFP_GEN[41].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 7 | 12 | 1.71 | | CLK | SFP_GEN[29].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[28].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[19].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 1 | 12 | 12.00 | | CLK | SFP_GEN[19].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[19].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[19].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 1 | 12 | 12.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[29].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[19].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__6_n_0 | SFP_GEN[30].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 7 | 12 | 1.71 | | CLK | SFP_GEN[19].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[2].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[19].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[28].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[19].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[19].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[19].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[28].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[19].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[19].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[28].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[19].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__278_n_0 | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[29].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[19].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__18_n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[2].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__1_n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__29_n_0 | SFP_GEN[29].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 5 | 12 | 2.40 | | CLK | SFP_GEN[29].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | DRPclk | | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I1_out | 12 | 12 | 1.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[2].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__40_n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__8_n_0 | SFP_GEN[42].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 8 | 12 | 1.50 | | CLK | SFP_GEN[29].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[28].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 1 | 12 | 12.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[2].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 1 | 12 | 12.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__22_n_0 | SFP_GEN[17].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 7 | 12 | 1.71 | | CLK | SFP_GEN[29].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[2].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__44_n_0 | SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 6 | 12 | 2.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[29].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[2].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[29].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[2].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[20].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[20].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[2].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[20].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 1 | 12 | 12.00 | | CLK | SFP_GEN[20].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[20].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[20].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[20].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[29].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[2].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[20].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[20].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__9_n_0 | SFP_GEN[43].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 3 | 12 | 4.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__5_n_0 | SFP_GEN[28].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 8 | 12 | 1.50 | | CLK | SFP_GEN[2].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[20].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[20].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[29].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[20].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 1 | 12 | 12.00 | | CLK | SFP_GEN[28].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[20].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[20].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__292_n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[2].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[20].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__19_n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[2].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[29].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__418_n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[2].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[29].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__28_n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[8].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__20_n_0 | SFP_GEN[27].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 3 | 12 | 4.00 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[42].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[42].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 1 | 12 | 12.00 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[42].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 1 | 12 | 12.00 | | CLK | SFP_GEN[42].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[42].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 1 | 12 | 12.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[42].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[42].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[42].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[42].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__45_n_0 | SFP_GEN[44].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 6 | 12 | 2.00 | | CLK | SFP_GEN[42].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[42].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 8 | 12 | 1.50 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[6].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[6].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[6].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[6].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[6].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[6].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__11_n_0 | SFP_GEN[45].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 3 | 12 | 4.00 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[6].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[6].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[6].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[6].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[6].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[6].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[6].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__96_n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[6].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__5_n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[21].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[41].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__40_n_0 | ipb_rst_BUFG | 1 | 12 | 12.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[41].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__586_n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[32].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[41].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__46_n_0 | SFP_GEN[46].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 6 | 12 | 2.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[41].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[41].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[41].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[41].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[21].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[41].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[41].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[41].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[41].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[41].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[21].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[21].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 1 | 12 | 12.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[21].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[21].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[21].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[21].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[21].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[21].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[21].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[21].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[21].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[21].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__306_n_0 | ipb_rst_BUFG | 7 | 12 | 1.71 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 7 | 12 | 1.71 | | CLK | SFP_GEN[21].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__20_n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__2_n_0 | SFP_GEN[16].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 8 | 12 | 1.50 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[7].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[7].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[7].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[7].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[7].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[40].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__39_n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[40].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__572_n_0 | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[40].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[7].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[40].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[40].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[40].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[7].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[40].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 1 | 12 | 12.00 | | CLK | SFP_GEN[7].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[40].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 1 | 12 | 12.00 | | CLK | SFP_GEN[40].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[7].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[40].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[40].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[7].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[40].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[40].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[40].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[7].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[40].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[7].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[7].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[7].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__110_n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__28_n_0 | SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 5 | 12 | 2.40 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[7].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__6_n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 7 | 12 | 1.71 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[27].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__26_n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 7 | 12 | 1.71 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__16_n_0 | SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 5 | 12 | 2.40 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__37_n_0 | SFP_GEN[18].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 7 | 12 | 1.71 | | CLK | SFP_GEN[1].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__0_n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[1].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__26_n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[1].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[27].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__390_n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[1].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[1].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[39].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__38_n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[1].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[39].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__558_n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[39].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[1].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[39].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[39].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[22].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[39].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[1].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[39].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[39].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[22].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[27].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[39].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[39].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[1].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[39].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[39].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[1].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[39].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[39].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[39].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[1].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[1].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[1].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 1 | 12 | 12.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[1].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[1].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 6 | 12 | 2.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__35_n_0 | SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 4 | 12 | 3.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[22].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[8].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[8].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[22].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[8].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[22].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[8].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[8].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[8].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[27].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[22].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[8].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[8].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[8].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[22].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[27].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[8].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[8].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[8].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[18].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__17_n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[18].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__264_n_0 | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[22].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[18].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[22].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[18].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 1 | 12 | 12.00 | | CLK | SFP_GEN[18].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[18].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[22].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[18].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[18].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[8].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__124_n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[18].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[18].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[22].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[8].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__7_n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[18].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[18].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[18].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[18].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[22].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[18].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[27].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[22].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[22].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__320_n_0 | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__31_n_0 | SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 4 | 12 | 3.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[15].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[37].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__36_n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[16].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[37].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__530_n_0 | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[16].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[37].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[16].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[37].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[37].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[37].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[15].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[2].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[37].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 10 | 12 | 1.20 | | CLK | SFP_GEN[37].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[37].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[15].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[37].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[37].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[37].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[37].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[37].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[37].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 7 | 12 | 1.71 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[27].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[17].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__16_n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 7 | 12 | 1.71 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[17].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__250_n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__26_n_0 | SFP_GEN[0].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 5 | 12 | 2.40 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[27].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 7 | 12 | 1.71 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[3].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__2_n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[17].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[17].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[3].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__54_n_0 | ipb_rst_BUFG | 7 | 12 | 1.71 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__24_n_0 | SFP_GEN[34].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 4 | 12 | 3.00 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[13].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__12_n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[13].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__194_n_0 | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[13].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[13].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[13].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[13].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[13].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[3].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[13].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[13].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[13].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[13].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[13].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[36].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__35_n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[13].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[13].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[36].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__516_n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[13].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[36].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[36].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[36].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[36].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[36].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[36].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 1 | 12 | 12.00 | | CLK | SFP_GEN[36].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[36].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[30].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[36].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[24].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[36].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[36].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[36].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[36].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 7 | 12 | 1.71 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[30].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[24].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[24].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[3].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[24].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[24].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[24].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[30].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[3].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[24].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[24].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__23_n_0 | SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 6 | 12 | 2.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[30].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[2].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[24].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[17].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[24].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[12].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__11_n_0 | ipb_rst_BUFG | 1 | 12 | 12.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[12].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__180_n_0 | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[3].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[12].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[17].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[12].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[24].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 1 | 12 | 12.00 | | CLK | SFP_GEN[12].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[24].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[17].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[12].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[12].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 1 | 12 | 12.00 | | CLK | SFP_GEN[12].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[24].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[3].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[12].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 1 | 12 | 12.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[12].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[24].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__348_n_0 | ipb_rst_BUFG | 7 | 12 | 1.71 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[12].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[12].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[24].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__23_n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[12].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[12].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[3].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[17].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | clk250 | g_clock_rate_din[10].i_rate_ngccm_status0/E[0] | | 2 | 12 | 6.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[3].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[17].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[3].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[17].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[17].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[3].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[17].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[17].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__10_n_0 | SFP_GEN[47].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 4 | 12 | 3.00 | | CLK | SFP_GEN[41].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[3].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[17].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[28].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[3].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 1 | 12 | 12.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[35].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__34_n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[35].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__502_n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[30].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[3].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[35].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[35].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[30].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 1 | 12 | 12.00 | | CLK | SFP_GEN[35].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[11].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__166_n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[35].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[11].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[3].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[11].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__10_n_0 | ipb_rst_BUFG | 1 | 12 | 12.00 | | CLK | SFP_GEN[11].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[35].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[11].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[35].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[11].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[35].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[35].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[11].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[11].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | clk250 | stat_regs_inst/wea_repN_7 | | 6 | 12 | 2.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[35].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[35].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[35].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[11].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[35].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[16].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__15_n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[35].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[11].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[30].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[11].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[11].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[16].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__236_n_0 | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[11].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[27].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[30].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[17].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__36_n_0 | SFP_GEN[20].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 5 | 12 | 2.40 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[16].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[38].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__37_n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[38].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__544_n_0 | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[16].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[38].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[38].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[16].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[16].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[38].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[10].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__9_n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 7 | 12 | 1.71 | | CLK | SFP_GEN[10].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__152_n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[38].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[10].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[10].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[10].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[16].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[10].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[10].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[16].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[10].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[10].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[10].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[10].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[38].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[10].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[31].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[38].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[10].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__0_n_0 | SFP_GEN[14].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 7 | 12 | 1.71 | | CLK | SFP_GEN[10].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[28].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__404_n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[16].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[10].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[31].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[38].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[16].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[31].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[38].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 1 | 12 | 12.00 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[38].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[30].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[16].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[31].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[38].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[38].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[31].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[38].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[31].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[38].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 1 | 12 | 12.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[16].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[31].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__39_n_0 | SFP_GEN[21].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 3 | 12 | 4.00 | | CLK | SFP_GEN[9].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__8_n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[9].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__138_n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[9].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[9].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[9].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[25].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[9].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[34].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__33_n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | tx_wordclk | TX_CLKEN_repN_3 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbt_txreset_s[0] | 5 | 12 | 2.40 | | CLK | SFP_GEN[9].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[34].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__488_n_0 | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[9].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[9].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[34].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[34].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[9].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[34].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[9].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[34].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[34].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[9].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[34].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[34].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[9].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[9].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[25].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[34].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[34].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[9].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[34].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 7 | 12 | 1.71 | | CLK | SFP_GEN[34].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[25].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[34].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[34].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[31].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[27].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[31].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[25].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[25].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[25].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 1 | 12 | 12.00 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[25].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[31].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[25].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[30].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[25].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[25].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[25].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[25].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[31].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[25].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[25].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__362_n_0 | ipb_rst_BUFG | 7 | 12 | 1.71 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[25].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__24_n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[31].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[28].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__27_n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[26].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__25_n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[0].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1_n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[0].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__12_n_0 | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[31].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[0].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[0].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[0].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[0].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__14_n_0 | SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 2 | 12 | 6.00 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[0].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[0].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[33].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__32_n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[33].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__474_n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[0].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[33].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[0].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 1 | 12 | 12.00 | | CLK | SFP_GEN[33].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[26].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__376_n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 9 | 12 | 1.33 | | CLK | SFP_GEN[33].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[0].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 1 | 12 | 12.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[33].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[33].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[33].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[0].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[33].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[33].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[0].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[33].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[33].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[0].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[33].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 1 | 12 | 12.00 | | CLK | SFP_GEN[0].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__3_n_0 | SFP_GEN[19].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 6 | 12 | 2.00 | | CLK | SFP_GEN[33].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[26].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 1 | 12 | 12.00 | | CLK | SFP_GEN[33].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[15].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 7 | 12 | 1.71 | | CLK | SFP_GEN[23].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 7 | 12 | 1.71 | | CLK | SFP_GEN[29].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[31].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__446_n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[30].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[31].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__30_n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__42_n_0 | SFP_GEN[22].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 3 | 12 | 4.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[26].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[23].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[41].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[27].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 7 | 12 | 1.71 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[23].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[30].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__432_n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[26].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[4].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[4].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[4].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__68_n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[4].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__3_n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[23].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[44].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__43_n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[44].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__628_n_0 | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[44].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[44].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[44].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[27].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[23].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[44].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[44].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[44].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[44].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[47].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__46_n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[44].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[47].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__670_n_0 | ipb_rst_BUFG | 7 | 12 | 1.71 | | CLK | SFP_GEN[44].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[26].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[47].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[44].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[44].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[44].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[47].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[44].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[47].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[47].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[26].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[47].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 1 | 12 | 12.00 | | CLK | SFP_GEN[47].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[47].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[23].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[26].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[47].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[30].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__29_n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[47].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[30].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[47].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[47].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 1 | 12 | 12.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[47].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 6 | 12 | 2.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__7_n_0 | SFP_GEN[35].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 5 | 12 | 2.40 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[46].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[46].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[46].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[46].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 7 | 12 | 1.71 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[22].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__21_n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 8 | 12 | 1.50 | | CLK | SFP_GEN[47].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[46].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1_n_0 | SFP_GEN[13].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 6 | 12 | 2.00 | | CLK | SFP_GEN[46].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[32].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 1 | 12 | 12.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[46].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[46].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[6].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[12].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[46].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[46].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[46].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[30].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 1 | 12 | 12.00 | | CLK | SFP_GEN[32].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[5].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 7 | 12 | 1.71 | | CLK | SFP_GEN[43].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__42_n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[15].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[5].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[26].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[46].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[23].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[46].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[43].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__614_n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[43].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[43].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[32].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[5].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[43].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[46].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__656_n_0 | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[43].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[5].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[43].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[43].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[32].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[46].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__45_n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[43].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[5].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[43].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[5].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[27].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[32].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[43].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[43].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[5].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[43].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[43].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[43].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[5].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[32].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[23].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[32].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 7 | 12 | 1.71 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[26].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[45].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__44_n_0 | ipb_rst_BUFG | 1 | 12 | 12.00 | | CLK | SFP_GEN[32].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[45].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__642_n_0 | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[5].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[45].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[45].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[5].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[45].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 1 | 12 | 12.00 | | CLK | SFP_GEN[5].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[5].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[5].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[32].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[45].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[45].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[45].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[5].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__82_n_0 | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[5].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__4_n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[45].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[32].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[45].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[26].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 1 | 12 | 12.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[45].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 1 | 12 | 12.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[45].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[32].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[45].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[27].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[26].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[32].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[45].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[45].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[23].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[23].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[26].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[32].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__460_n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[23].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[32].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__31_n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[14].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[14].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[14].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[14].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[14].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[14].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[14].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[14].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[26].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[14].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[14].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[14].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[14].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[14].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__13_n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[14].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__208_n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[41].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[26].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[23].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 1 | 12 | 12.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[27].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__21_n_0 | SFP_GEN[23].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 2 | 12 | 6.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[23].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[23].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__334_n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[14].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 8 | 12 | 1.50 | | CLK | SFP_GEN[23].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__22_n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[15].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[15].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[15].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[15].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[15].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__14_n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[15].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[15].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[19].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[15].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__222_n_0 | ipb_rst_BUFG | 7 | 12 | 1.71 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[28].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[15].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[13].RAM/gen_wr_b.gen_word_narrow.mem_reg_bram_1_1[0] | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[4].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[4].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[4].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | DRPclk | | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I5_out | 12 | 12 | 1.00 | | CLK | SFP_GEN[4].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | DRPclk | | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I3_out | 12 | 12 | 1.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | DRPclk | | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I | 12 | 12 | 1.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__27_n_0 | SFP_GEN[26].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 5 | 12 | 2.40 | | CLKFBIN | | eth/mac/i_mac/tx_byte_cnt0 | 3 | 12 | 4.00 | | CLKFBIN | | rst_eth | 4 | 12 | 3.00 | | CLK | SFP_GEN[42].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[4].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[42].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[4].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[42].ngFEC_module/buffer_ngccm_jtag/data_address[11]_i_1__600_n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[42].ngFEC_module/buffer_ngccm_jtag/ngccm_aout[11]_i_1__41_n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[4].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[12].buffer_server/E[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[11].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLKFBIN | ipb/udp_if/payload/next_addr_block.addr_int[12]_i_1_n_0 | | 5 | 12 | 2.40 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLKFBIN | ipb/udp_if/rx_packet_parser/status_request.pkt_data[46]_i_1_n_0 | ipb/udp_if/rx_reset_block/SR[0] | 2 | 12 | 6.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | i_I2C_if/I2C_array[0].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[11].ngFEC_module/bkp_buffer_ngccm/i__n_0 | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[15].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | i_I2C_if/I2C_array[1].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | i_I2C_if/I2C_array[2].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | i_I2C_if/I2C_array[3].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/ngccmPinsOutReg[bkp_reset]_i_1__1_n_0 | SFP_GEN[15].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 6 | 12 | 2.00 | | CLK | i_I2C_if/I2C_array[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | i_I2C_if/I2C_array[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | i_I2C_if/I2C_array[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | i_I2C_if/I2C_array[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | i_I2C_if/I2C_array[8].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | SFP_GEN[4].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | i_I2C_if/I2C_array[8].buffer_server/E[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[4].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | i_I2C_if/I2C_array[0].buffer_server/E[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | i_I2C_if/I2C_array[1].buffer_server/E[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | CLK | SFP_GEN[4].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 2 | 12 | 6.00 | | CLK | i_I2C_if/I2C_array[6].buffer_server/E[0] | ipb_rst_BUFG | 6 | 12 | 2.00 | | CLK | i_I2C_if/I2C_array[7].buffer_server/E[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | i_I2C_if/I2C_array[2].buffer_server/E[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[4].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i__n_0 | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | i_I2C_if/I2C_array[3].buffer_server/E[0] | ipb_rst_BUFG | 4 | 12 | 3.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_1[0] | ipb_rst_BUFG | 3 | 12 | 4.00 | | CLK | i_I2C_if/I2C_array[4].buffer_server/E[0] | ipb_rst_BUFG | 5 | 12 | 2.40 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[23].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__21_n_0 | 4 | 13 | 3.25 | | CLK | i_I2C_if/I2C_array[2].RAM/E[0] | ipb_rst_BUFG | 5 | 13 | 2.60 | | CLK | i_I2C_if/I2C_array[6].RAM/E[0] | ipb_rst_BUFG | 5 | 13 | 2.60 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[37].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__19_n_0 | 5 | 13 | 2.60 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[0].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__26_n_0 | 3 | 13 | 4.33 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[27].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__20_n_0 | 5 | 13 | 2.60 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[1].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__31_n_0 | 5 | 13 | 2.60 | | CLK | i_I2C_if/I2C_array[7].RAM/E[0] | ipb_rst_BUFG | 7 | 13 | 1.86 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[6].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__28_n_0 | 3 | 13 | 4.33 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[9].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__14_n_0 | 5 | 13 | 2.60 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[25].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__4_n_0 | 5 | 13 | 2.60 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[38].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__18_n_0 | 4 | 13 | 3.25 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[41].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__15_n_0 | 4 | 13 | 3.25 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[13].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1_n_0 | 4 | 13 | 3.25 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[47].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__10_n_0 | 4 | 13 | 3.25 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__23_n_0 | 4 | 13 | 3.25 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[8].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__35_n_0 | 4 | 13 | 3.25 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[34].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__24_n_0 | 3 | 13 | 4.33 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[30].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__6_n_0 | 3 | 13 | 4.33 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[3].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__40_n_0 | 5 | 13 | 2.60 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[42].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__8_n_0 | 3 | 13 | 4.33 | | CLK | i_I2C_if/I2C_array[1].RAM/E[0] | ipb_rst_BUFG | 6 | 13 | 2.17 | | CLK | i_I2C_if/I2C_array[8].RAM/E[0] | ipb_rst_BUFG | 5 | 13 | 2.60 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[46].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__46_n_0 | 3 | 13 | 4.33 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[17].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__22_n_0 | 4 | 13 | 3.25 | | CLK | i_I2C_if/I2C_array[0].RAM/E[0] | ipb_rst_BUFG | 7 | 13 | 1.86 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[24].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__41_n_0 | 4 | 13 | 3.25 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[4].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__30_n_0 | 3 | 13 | 4.33 | | CLK | i_I2C_if/I2C_array[3].RAM/E[0] | ipb_rst_BUFG | 4 | 13 | 3.25 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[7].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__16_n_0 | 4 | 13 | 3.25 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[11].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__34_n_0 | 5 | 13 | 2.60 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[33].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__17_n_0 | 3 | 13 | 4.33 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[35].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__7_n_0 | 4 | 13 | 3.25 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[29].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__29_n_0 | 5 | 13 | 2.60 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[20].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__36_n_0 | 4 | 13 | 3.25 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[45].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__11_n_0 | 6 | 13 | 2.17 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[21].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__39_n_0 | 5 | 13 | 2.60 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[16].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__2_n_0 | 4 | 13 | 3.25 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[43].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__9_n_0 | 5 | 13 | 2.60 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[18].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__37_n_0 | 5 | 13 | 2.60 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[31].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__25_n_0 | 5 | 13 | 2.60 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[28].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__5_n_0 | 4 | 13 | 3.25 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[2].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__44_n_0 | 4 | 13 | 3.25 | | CLK | i_I2C_if/I2C_array[4].RAM/E[0] | ipb_rst_BUFG | 4 | 13 | 3.25 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[39].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__38_n_0 | 5 | 13 | 2.60 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[32].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__32_n_0 | 3 | 13 | 4.33 | | CLK | i_I2C_if/I2C_array[5].RAM/E[0] | ipb_rst_BUFG | 6 | 13 | 2.17 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[14].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__0_n_0 | 3 | 13 | 4.33 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[44].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__45_n_0 | 4 | 13 | 3.25 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[40].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__12_n_0 | 5 | 13 | 2.60 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[12].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__43_n_0 | 5 | 13 | 2.60 | | CLKFBIN | ipb/udp_if/tx_main/state_machine.end_addr_int[12]_i_1_n_0 | | 5 | 13 | 2.60 | | CLKFBIN | ipb/udp_if/ping/send_packet.next_state_reg[1]_inv_0[0] | ipb/udp_if/ping/send_packet.end_addr_i[12]_i_1_n_0 | 3 | 13 | 4.33 | | CLKFBIN | ipb/udp_if/rx_ram_mux/do_ram_ready.ram_ready_int_reg_0[0] | rst_clk125 | 4 | 13 | 3.25 | | CLKFBIN | ipb/udp_if/rx_ram_mux/E[0] | rst_clk125 | 4 | 13 | 3.25 | | CLKFBIN | | ipb/udp_if/internal_ram_selector/req_send | 3 | 13 | 4.33 | | CLKFBIN | | eth/phy/U0/gig_ethernet_pcs_pma_0_core/gpcs_pma_inst/RX_GMII_AT_TXOUTCLK.SYNCHRONISATION/FSM_onehot_STATE[12]_i_1_n_0 | 3 | 13 | 4.33 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[26].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__27_n_0 | 5 | 13 | 2.60 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[36].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__33_n_0 | 4 | 13 | 3.25 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[19].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__3_n_0 | 5 | 13 | 2.60 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[22].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__42_n_0 | 4 | 13 | 3.25 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[5].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__13_n_0 | 6 | 13 | 2.17 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount0 | SFP_GEN[15].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/wrBitCount[14]_i_1__1_n_0 | 3 | 13 | 4.33 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | clk250 | | ipb_rst_BUFG | 11 | 14 | 1.27 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 2 | 14 | 7.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 2 | 14 | 7.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 2 | 14 | 7.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 2 | 14 | 7.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 2 | 14 | 7.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 9 | 14 | 1.56 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 8 | 14 | 1.75 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 2 | 14 | 7.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 2 | 14 | 7.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 8 | 14 | 1.75 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 2 | 14 | 7.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 2 | 14 | 7.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 2 | 14 | 7.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 2 | 14 | 7.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 8 | 14 | 1.75 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 8 | 14 | 1.75 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 8 | 14 | 1.75 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 8 | 14 | 1.75 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 2 | 14 | 7.00 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 8 | 14 | 1.75 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 2 | 14 | 7.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 8 | 14 | 1.75 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 9 | 14 | 1.56 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 2 | 14 | 7.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 9 | 14 | 1.56 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 2 | 14 | 7.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 2 | 14 | 7.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 2 | 14 | 7.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 8 | 14 | 1.75 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 8 | 14 | 1.75 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 10 | 14 | 1.40 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 8 | 14 | 1.75 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 8 | 14 | 1.75 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 2 | 14 | 7.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 8 | 14 | 1.75 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 2 | 14 | 7.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 2 | 14 | 7.00 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 8 | 14 | 1.75 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 8 | 14 | 1.75 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 8 | 14 | 1.75 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 9 | 14 | 1.56 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 8 | 14 | 1.75 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | | rst_ipb | 7 | 14 | 2.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLKFBIN | ipb/udp_if/tx_main/rx_event.rxram_end_addr_int[12]_i_1_n_0 | rst_clk125 | 3 | 14 | 4.67 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 10 | 14 | 1.40 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 8 | 14 | 1.75 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLKFBIN | | eth/phy/U0/gig_ethernet_pcs_pma_0_core/gpcs_pma_inst/RX_GMII_AT_TXOUTCLK.RECEIVER_TXOUTCLK/SYNC_STATUS_REG0 | 4 | 14 | 3.50 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 8 | 14 | 1.75 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 8 | 14 | 1.75 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 2 | 14 | 7.00 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[0].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[1].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[10].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[5].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 7 | 14 | 2.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[2].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[7].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[11].RAM/E[0] | ipb_rst_BUFG | 3 | 14 | 4.67 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[4].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[6].RAM/E[0] | ipb_rst_BUFG | 6 | 14 | 2.33 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[3].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[9].RAM/E[0] | ipb_rst_BUFG | 4 | 14 | 3.50 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[8].RAM/E[0] | ipb_rst_BUFG | 5 | 14 | 2.80 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 3 | 15 | 5.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 4 | 15 | 3.75 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 6 | 15 | 2.50 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 5 | 15 | 3.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 8 | 15 | 1.88 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 4 | 15 | 3.75 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[39].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 4 | 15 | 3.75 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[46].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 4 | 15 | 3.75 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 4 | 15 | 3.75 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 7 | 15 | 2.14 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 4 | 15 | 3.75 | | CLK | i_I2C_if/I2C_array[8].local_addr_reg[8]0 | | 5 | 15 | 3.00 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 8 | 15 | 1.88 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 8 | 15 | 1.88 | | CLK | i_I2C_if/I2C_array[7].local_addr_reg[7]0 | | 7 | 15 | 2.14 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[35].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 4 | 15 | 3.75 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[33].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 3 | 15 | 5.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[41].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 3 | 15 | 5.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 5 | 15 | 3.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[43].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 4 | 15 | 3.75 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 4 | 15 | 3.75 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[36].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 4 | 15 | 3.75 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[24].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 15 | 3.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 4 | 15 | 3.75 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 4 | 15 | 3.75 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 6 | 15 | 2.50 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 5 | 15 | 3.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 3 | 15 | 5.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 6 | 15 | 2.50 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[23].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 4 | 15 | 3.75 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[17].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 15 | 3.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[45].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 4 | 15 | 3.75 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[31].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 4 | 15 | 3.75 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 4 | 15 | 3.75 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 5 | 15 | 3.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[44].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 4 | 15 | 3.75 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 8 | 15 | 1.88 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 6 | 15 | 2.50 | | CLKFBIN | | eth/mac/i_mac/rx_byte_cnt0 | 4 | 15 | 3.75 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 7 | 15 | 2.14 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 4 | 15 | 3.75 | | CLKFBIN | | eth/phy/U0/gig_ethernet_pcs_pma_0_core/gpcs_pma_inst/TX_RST_SM0 | 1 | 15 | 15.00 | | CLKFBIN | | eth/phy/U0/gig_ethernet_pcs_pma_0_core/gpcs_pma_inst/RX_RST_SM0 | 1 | 15 | 15.00 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[16].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 4 | 15 | 3.75 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 4 | 15 | 3.75 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 7 | 15 | 2.14 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 4 | 15 | 3.75 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 6 | 15 | 2.50 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[42].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 15 | 3.00 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[26].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 4 | 15 | 3.75 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[37].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 15 | 3.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[29].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 4 | 15 | 3.75 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 5 | 15 | 3.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 4 | 15 | 3.75 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[20].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 6 | 15 | 2.50 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 5 | 15 | 3.00 | | tx_wordclk | TX_CLKEN_repN_47 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/gbt_txreset_s[0] | 7 | 15 | 2.14 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 10 | 15 | 1.50 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[30].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 15 | 3.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 5 | 15 | 3.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[21].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 4 | 15 | 3.75 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 5 | 15 | 3.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 6 | 15 | 2.50 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 9 | 15 | 1.67 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 8 | 15 | 1.88 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 5 | 15 | 3.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 6 | 15 | 2.50 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 5 | 15 | 3.00 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 6 | 15 | 2.50 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[27].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 4 | 15 | 3.75 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 10 | 15 | 1.50 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[13].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 3 | 15 | 5.00 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[0].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 4 | 15 | 3.75 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[38].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 15 | 3.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 11 | 15 | 1.36 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 8 | 15 | 1.88 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[40].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 4 | 15 | 3.75 | | CLK | ipb/trans/iface/wctr0 | ipb/trans/iface/p_0_in | 2 | 15 | 7.50 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 4 | 15 | 3.75 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[32].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 4 | 15 | 3.75 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[25].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 3 | 15 | 5.00 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[19].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 4 | 15 | 3.75 | | clk250 | g_clock_rate_din[10].i_rate_ngccm_status0/E[0]_repN_8 | | 2 | 15 | 7.50 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 7 | 15 | 2.14 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 6 | 15 | 2.50 | | CLK | i_I2C_if/I2C_array[6].local_addr_reg[6]0 | | 6 | 15 | 2.50 | | CLK | i_I2C_if/I2C_array[5].local_addr_reg[5]0 | | 6 | 15 | 2.50 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[18].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 15 | 3.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[22].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 3 | 15 | 5.00 | | CLK | i_I2C_if/I2C_array[4].local_addr_reg[4]0 | | 6 | 15 | 2.50 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 5 | 15 | 3.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 4 | 15 | 3.75 | | CLK | i_I2C_if/I2C_array[3].local_addr_reg[3]0 | | 4 | 15 | 3.75 | | CLK | i_I2C_if/I2C_array[2].local_addr_reg[2]0 | | 6 | 15 | 2.50 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 4 | 15 | 3.75 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 4 | 15 | 3.75 | | CLK | i_I2C_if/I2C_array[1].local_addr_reg[1]0 | | 5 | 15 | 3.00 | | CLK | i_I2C_if/I2C_array[0].local_addr_reg[0]0 | | 8 | 15 | 1.88 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 4 | 15 | 3.75 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 6 | 15 | 2.50 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 8 | 15 | 1.88 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 5 | 15 | 3.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[34].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 4 | 15 | 3.75 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[14].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 4 | 15 | 3.75 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 4 | 15 | 3.75 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 6 | 15 | 2.50 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[28].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 3 | 15 | 5.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 8 | 15 | 1.88 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[47].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 4 | 15 | 3.75 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/addr_local[0]_i_1_n_0 | | 7 | 15 | 2.14 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/timeoutCntr_0 | SFP_GEN[15].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 15 | 3.00 | | CLK | SFP_GEN[36].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[36].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 3 | 16 | 5.33 | | CLK | SFP_GEN[36].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[36].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 9 | 16 | 1.78 | | CLK | SFP_GEN[36].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[36].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[36].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[36].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[36].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[36].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[36].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[36].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[23].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[23].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[23].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 3 | 16 | 5.33 | | CLK | SFP_GEN[23].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[23].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[23].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[23].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[23].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[23].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[35].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[35].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[35].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[35].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[35].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[35].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[35].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[35].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[35].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[35].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[35].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[35].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[35].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[21].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[21].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 9 | 16 | 1.78 | | CLK | SFP_GEN[21].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[21].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[21].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 3 | 16 | 5.33 | | CLK | SFP_GEN[21].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[21].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[21].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[21].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[21].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[21].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[21].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[21].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[37].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[37].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[37].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 9 | 16 | 1.78 | | CLK | SFP_GEN[37].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[23].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[23].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[23].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[22].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[22].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[22].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 9 | 16 | 1.78 | | CLK | SFP_GEN[22].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__11_n_0 | SFP_GEN[45].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 6 | 16 | 2.67 | | CLK | SFP_GEN[22].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[22].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[22].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[22].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[22].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[22].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[22].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[22].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[22].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[37].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[37].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[37].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[37].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[37].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[37].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[37].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[23].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 3 | 16 | 5.33 | | CLK | SFP_GEN[24].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[24].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[24].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[24].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[24].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[24].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[24].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[24].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[24].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[24].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[24].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[24].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[24].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__29_n_0 | SFP_GEN[29].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 16 | 3.20 | | CLK | SFP_GEN[25].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[25].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[25].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[25].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[25].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[25].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[25].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[25].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[25].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[25].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[25].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[25].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[25].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[26].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[26].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[26].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[26].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[26].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[26].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[26].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[26].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[26].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 9 | 16 | 1.78 | | CLK | SFP_GEN[26].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[26].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[26].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[26].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[27].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[27].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[27].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[27].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[27].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[27].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[27].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[27].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[27].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[27].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[27].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[27].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[28].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[28].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[28].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[28].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[28].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[28].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 10 | 16 | 1.60 | | CLK | SFP_GEN[28].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[28].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[28].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[28].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[28].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[28].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[28].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[2].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[2].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[2].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[2].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[2].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[2].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[2].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[2].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 9 | 16 | 1.78 | | CLK | SFP_GEN[2].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[2].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[2].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[2].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[2].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[29].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[29].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[29].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[29].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[29].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[29].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[29].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[29].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[29].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[29].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__46_n_0 | SFP_GEN[46].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 16 | 3.20 | | CLK | SFP_GEN[29].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[29].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 3 | 16 | 5.33 | | CLK | SFP_GEN[29].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[30].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[30].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[30].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[30].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[30].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 9 | 16 | 1.78 | | CLK | SFP_GEN[30].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[30].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[30].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[30].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[30].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[30].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[30].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[30].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[31].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__38_n_0 | SFP_GEN[39].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 16 | 3.20 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__6_n_0 | SFP_GEN[30].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 4 | 16 | 4.00 | | CLK | SFP_GEN[31].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[31].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[31].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[31].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[31].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[31].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[31].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[31].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[31].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[31].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[31].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[31].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[32].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[32].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[32].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[32].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 9 | 16 | 1.78 | | CLK | SFP_GEN[32].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[32].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[32].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[32].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[32].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[32].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[32].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[32].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[32].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[33].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[33].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[33].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[33].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[33].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[33].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[33].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[33].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[33].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[33].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[33].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[33].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[33].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[34].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[34].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[34].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[34].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[34].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[34].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[34].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[34].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[34].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[34].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 3 | 16 | 5.33 | | CLK | SFP_GEN[34].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[34].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[34].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[38].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[38].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[38].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[38].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[38].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[38].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[38].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[38].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[38].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[38].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[38].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[38].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 3 | 16 | 5.33 | | CLK | SFP_GEN[38].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[3].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[3].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[3].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[3].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[3].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[3].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 9 | 16 | 1.78 | | CLK | SFP_GEN[3].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[3].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 9 | 16 | 1.78 | | CLK | SFP_GEN[3].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[3].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[3].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[3].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[3].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[39].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[39].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[39].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[39].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[39].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[39].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[39].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[39].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[39].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__30_n_0 | SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 16 | 3.20 | | CLK | SFP_GEN[39].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[39].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[39].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[39].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 3 | 16 | 5.33 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[40].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[40].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[40].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[40].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[40].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[40].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[40].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[40].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[40].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[40].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[40].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[40].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[40].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[41].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[41].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__12_n_0 | SFP_GEN[40].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 6 | 16 | 2.67 | | CLK | SFP_GEN[41].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[41].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__25_n_0 | SFP_GEN[31].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 16 | 3.20 | | CLK | SFP_GEN[41].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[41].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[41].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[41].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[41].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[41].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[41].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 10 | 16 | 1.60 | | CLK | SFP_GEN[41].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[41].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[42].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[42].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[42].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[42].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[42].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[42].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[42].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[42].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[42].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[42].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[42].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[42].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[42].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[43].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[43].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[43].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[43].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[43].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[43].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[43].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[43].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[43].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[43].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[43].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[43].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[43].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[44].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[44].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 9 | 16 | 1.78 | | CLK | SFP_GEN[44].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[44].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[44].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[44].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[44].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[44].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[44].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[44].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[44].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[44].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[44].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[45].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[45].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[45].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[45].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[45].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[45].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[45].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[45].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[45].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[45].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[45].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[45].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[45].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 3 | 16 | 5.33 | | CLK | SFP_GEN[46].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[46].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[46].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[46].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[46].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 9 | 16 | 1.78 | | CLK | SFP_GEN[46].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[46].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[46].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[46].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[46].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[46].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[46].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 9 | 16 | 1.78 | | CLK | SFP_GEN[46].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[4].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[4].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[4].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[4].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[4].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[4].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__13_n_0 | SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 8 | 16 | 2.00 | | CLK | SFP_GEN[4].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[4].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[4].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[4].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[4].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[4].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[4].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[5].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[5].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[5].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[5].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[5].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[5].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[5].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[5].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[5].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[5].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[5].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[5].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[5].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 9 | 16 | 1.78 | | CLK | SFP_GEN[6].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[6].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[6].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[6].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[6].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[6].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[6].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__15_n_0 | SFP_GEN[41].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 7 | 16 | 2.29 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__32_n_0 | SFP_GEN[32].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 6 | 16 | 2.67 | | CLK | SFP_GEN[6].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[6].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[6].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[6].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[6].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[6].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[7].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[7].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[7].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[7].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[7].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[7].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[7].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[7].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[7].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[7].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[7].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[7].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[7].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[8].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[8].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[8].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[8].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[8].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[8].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[8].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[8].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[8].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[8].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[8].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 9 | 16 | 1.78 | | CLK | SFP_GEN[8].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[8].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[27].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | clk250 | g_clock_rate_din[47].i_rate_test_comm/rate_i0 | | 5 | 16 | 3.20 | | clk250 | g_clock_rate_din[0].i_rate_test_comm/rate_i0 | | 9 | 16 | 1.78 | | clk250 | g_clock_rate_din[9].i_rate_test_comm/rate_i0 | | 6 | 16 | 2.67 | | clk250 | g_clock_rate_din[10].i_rate_test_comm/rate_i0 | | 6 | 16 | 2.67 | | clk250 | g_clock_rate_din[17].i_rate_test_comm/rate_i0 | | 5 | 16 | 3.20 | | clk250 | g_clock_rate_din[11].i_rate_test_comm/rate_i0 | | 3 | 16 | 5.33 | | clk250 | g_clock_rate_din[12].i_rate_test_comm/rate_i0 | | 5 | 16 | 3.20 | | clk250 | g_clock_rate_din[13].i_rate_test_comm/rate_i0 | | 10 | 16 | 1.60 | | clk250 | g_clock_rate_din[14].i_rate_test_comm/rate_i0 | | 7 | 16 | 2.29 | | clk250 | g_clock_rate_din[15].i_rate_test_comm/rate_i0 | | 3 | 16 | 5.33 | | clk250 | g_clock_rate_din[16].i_rate_test_comm/rate_i0 | | 6 | 16 | 2.67 | | clk250 | g_clock_rate_din[18].i_rate_test_comm/rate_i0 | | 5 | 16 | 3.20 | | clk250 | g_clock_rate_din[1].i_rate_test_comm/rate_i0 | | 6 | 16 | 2.67 | | clk250 | g_clock_rate_din[19].i_rate_test_comm/rate_i0 | | 7 | 16 | 2.29 | | clk250 | g_clock_rate_din[20].i_rate_test_comm/rate_i0 | | 3 | 16 | 5.33 | | clk250 | g_clock_rate_din[21].i_rate_test_comm/rate_i0 | | 7 | 16 | 2.29 | | clk250 | g_clock_rate_din[22].i_rate_test_comm/rate_i0 | | 4 | 16 | 4.00 | | clk250 | g_clock_rate_din[23].i_rate_test_comm/rate_i0 | | 5 | 16 | 3.20 | | clk250 | g_clock_rate_din[24].i_rate_test_comm/rate_i0 | | 7 | 16 | 2.29 | | clk250 | g_clock_rate_din[25].i_rate_test_comm/rate_i0 | | 3 | 16 | 5.33 | | clk250 | g_clock_rate_din[26].i_rate_test_comm/rate_i0 | | 5 | 16 | 3.20 | | clk250 | g_clock_rate_din[27].i_rate_test_comm/rate_i0 | | 3 | 16 | 5.33 | | clk250 | g_clock_rate_din[28].i_rate_test_comm/rate_i0 | | 9 | 16 | 1.78 | | clk250 | g_clock_rate_din[2].i_rate_test_comm/rate_i0 | | 3 | 16 | 5.33 | | clk250 | g_clock_rate_din[29].i_rate_test_comm/rate_i0 | | 5 | 16 | 3.20 | | clk250 | g_clock_rate_din[30].i_rate_test_comm/rate_i0 | | 2 | 16 | 8.00 | | clk250 | g_clock_rate_din[31].i_rate_test_comm/rate_i0 | | 7 | 16 | 2.29 | | clk250 | g_clock_rate_din[32].i_rate_test_comm/rate_i0 | | 5 | 16 | 3.20 | | clk250 | g_clock_rate_din[33].i_rate_test_comm/rate_i0 | | 6 | 16 | 2.67 | | clk250 | g_clock_rate_din[34].i_rate_test_comm/rate_i0 | | 3 | 16 | 5.33 | | clk250 | g_clock_rate_din[35].i_rate_test_comm/rate_i0 | | 3 | 16 | 5.33 | | clk250 | g_clock_rate_din[36].i_rate_test_comm/rate_i0 | | 6 | 16 | 2.67 | | clk250 | g_clock_rate_din[37].i_rate_test_comm/rate_i0 | | 3 | 16 | 5.33 | | clk250 | g_clock_rate_din[38].i_rate_test_comm/rate_i0 | | 4 | 16 | 4.00 | | clk250 | g_clock_rate_din[3].i_rate_test_comm/rate_i0 | | 6 | 16 | 2.67 | | clk250 | g_clock_rate_din[39].i_rate_test_comm/rate_i0 | | 5 | 16 | 3.20 | | clk250 | g_clock_rate_din[40].i_rate_test_comm/rate_i0 | | 4 | 16 | 4.00 | | clk250 | g_clock_rate_din[41].i_rate_test_comm/rate_i0 | | 6 | 16 | 2.67 | | clk250 | g_clock_rate_din[42].i_rate_test_comm/rate_i0 | | 6 | 16 | 2.67 | | clk250 | g_clock_rate_din[43].i_rate_test_comm/rate_i0 | | 4 | 16 | 4.00 | | clk250 | g_clock_rate_din[44].i_rate_test_comm/rate_i0 | | 4 | 16 | 4.00 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__28_n_0 | SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 4 | 16 | 4.00 | | clk250 | g_clock_rate_din[45].i_rate_test_comm/rate_i0 | | 2 | 16 | 8.00 | | clk250 | g_clock_rate_din[46].i_rate_test_comm/rate_i0 | | 3 | 16 | 5.33 | | clk250 | g_clock_rate_din[4].i_rate_test_comm/rate_i0 | | 4 | 16 | 4.00 | | clk250 | g_clock_rate_din[5].i_rate_test_comm/rate_i0 | | 2 | 16 | 8.00 | | clk250 | g_clock_rate_din[6].i_rate_test_comm/rate_i0 | | 3 | 16 | 5.33 | | clk250 | g_clock_rate_din[7].i_rate_test_comm/rate_i0 | | 2 | 16 | 8.00 | | clk250 | g_clock_rate_din[8].i_rate_test_comm/rate_i0 | | 4 | 16 | 4.00 | | clk250 | g_clock_rate_din[10].i_rate_ngccm_status0/E[0]_repN_2 | | 2 | 16 | 8.00 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__14_n_0 | SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 7 | 16 | 2.29 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 6 | 16 | 2.67 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 6 | 16 | 2.67 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 7 | 16 | 2.29 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__10_n_0 | SFP_GEN[47].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 16 | 3.20 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 6 | 16 | 2.67 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__26_n_0 | SFP_GEN[0].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 16 | 3.20 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 7 | 16 | 2.29 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 9 | 16 | 1.78 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__3_n_0 | SFP_GEN[19].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 6 | 16 | 2.67 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__36_n_0 | SFP_GEN[20].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 7 | 16 | 2.29 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 6 | 16 | 2.67 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__23_n_0 | SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 8 | 16 | 2.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 5 | 16 | 3.20 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__34_n_0 | SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 6 | 16 | 2.67 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 2 | 16 | 8.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__43_n_0 | SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 16 | 3.20 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 4 | 16 | 4.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1_n_0 | SFP_GEN[13].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 16 | 3.20 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 7 | 16 | 2.29 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__8_n_0 | SFP_GEN[42].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 7 | 16 | 2.29 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__0_n_0 | SFP_GEN[14].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 3 | 16 | 5.33 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 4 | 16 | 4.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__1_n_0 | SFP_GEN[15].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 6 | 16 | 2.67 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 7 | 16 | 2.29 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__2_n_0 | SFP_GEN[16].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 16 | 3.20 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 6 | 16 | 2.67 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 8 | 16 | 2.00 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__17_n_0 | SFP_GEN[33].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 6 | 16 | 2.67 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__22_n_0 | SFP_GEN[17].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 16 | 3.20 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 6 | 16 | 2.67 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__37_n_0 | SFP_GEN[18].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 7 | 16 | 2.29 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 4 | 16 | 4.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__31_n_0 | SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 6 | 16 | 2.67 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__41_n_0 | SFP_GEN[24].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 16 | 3.20 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 6 | 16 | 2.67 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__33_n_0 | SFP_GEN[36].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 16 | 3.20 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 5 | 16 | 3.20 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__39_n_0 | SFP_GEN[21].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 6 | 16 | 2.67 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__7_n_0 | SFP_GEN[35].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 7 | 16 | 2.29 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 6 | 16 | 2.67 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__24_n_0 | SFP_GEN[34].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 6 | 16 | 2.67 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 7 | 16 | 2.29 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 8 | 16 | 2.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 6 | 16 | 2.67 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__42_n_0 | SFP_GEN[22].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 6 | 16 | 2.67 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 6 | 16 | 2.67 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__21_n_0 | SFP_GEN[23].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 16 | 3.20 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 5 | 16 | 3.20 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 7 | 16 | 2.29 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__4_n_0 | SFP_GEN[25].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 7 | 16 | 2.29 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 7 | 16 | 2.29 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__27_n_0 | SFP_GEN[26].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 16 | 3.20 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 5 | 16 | 3.20 | | CLKFBIN | eth/mac/i_mac/tx_buf_a0 | | 3 | 16 | 5.33 | | CLKFBIN | eth/mac/i_mac/emacclientrxdvld_reg_5[0] | ipb/udp_if/rx_reset_block/resend.pkt_drop_reg[0] | 2 | 16 | 8.00 | | CLKFBIN | ipb/udp_if/tx_ram_selector/ipbus_out_valid_reg_0[0] | rst_clk125 | 2 | 16 | 8.00 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__20_n_0 | SFP_GEN[27].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 16 | 3.20 | | CLKFBIN | ipb/udp_if/tx_ram_selector/ipbus_out_valid_reg_14[0] | rst_clk125 | 4 | 16 | 4.00 | | CLKFBIN | ipb/udp_if/tx_ram_selector/ipbus_out_valid_reg_3[0] | rst_clk125 | 2 | 16 | 8.00 | | CLKFBIN | ipb/udp_if/tx_ram_selector/ipbus_out_valid_reg_8[0] | rst_clk125 | 2 | 16 | 8.00 | | CLKFBIN | ipb/udp_if/tx_ram_selector/ipbus_out_valid_reg[0] | rst_clk125 | 3 | 16 | 5.33 | | CLKFBIN | ipb/udp_if/tx_ram_selector/ipbus_out_valid_reg_1[0] | rst_clk125 | 2 | 16 | 8.00 | | CLKFBIN | ipb/udp_if/tx_ram_selector/ipbus_out_valid_reg_10[0] | rst_clk125 | 2 | 16 | 8.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 7 | 16 | 2.29 | | CLKFBIN | ipb/udp_if/tx_ram_selector/ipbus_out_valid_reg_12[0] | rst_clk125 | 3 | 16 | 5.33 | | CLKFBIN | ipb/udp_if/tx_ram_selector/ipbus_out_valid_reg_2[0] | rst_clk125 | 2 | 16 | 8.00 | | CLKFBIN | ipb/udp_if/tx_ram_selector/ipbus_out_valid_reg_4[0] | rst_clk125 | 3 | 16 | 5.33 | | CLKFBIN | ipb/udp_if/tx_ram_selector/ipbus_out_valid_reg_13[0] | rst_clk125 | 4 | 16 | 4.00 | | CLKFBIN | ipb/udp_if/tx_ram_selector/ipbus_out_valid_reg_5[0] | rst_clk125 | 2 | 16 | 8.00 | | CLKFBIN | ipb/udp_if/tx_ram_selector/ipbus_out_valid_reg_9[0] | rst_clk125 | 3 | 16 | 5.33 | | CLKFBIN | ipb/udp_if/tx_ram_selector/ipbus_out_valid_reg_6[0] | rst_clk125 | 3 | 16 | 5.33 | | CLKFBIN | ipb/udp_if/tx_ram_selector/ipbus_out_valid_reg_7[0] | rst_clk125 | 3 | 16 | 5.33 | | CLKFBIN | ipb/udp_if/tx_ram_selector/ipbus_out_valid_reg_11[0] | rst_clk125 | 3 | 16 | 5.33 | | CLKFBIN | ipb/udp_if/rx_reset_block/emacclientrxdvld_reg | ipb/udp_if/rx_reset_block/SR[0] | 7 | 16 | 2.29 | | CLKFBIN | ipb/udp_if/rx_packet_parser/next_pkt_id_int0 | rst_clk125 | 5 | 16 | 3.20 | | CLKFBIN | ipb/udp_if/rx_packet_parser/reliable_data | ipb/udp_if/rx_reset_block/SR[0] | 3 | 16 | 5.33 | | CLK | SFP_GEN[9].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[9].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[9].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[9].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[9].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 3 | 16 | 5.33 | | CLK | SFP_GEN[9].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[9].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[9].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[9].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[9].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[9].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[9].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[9].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[47].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[47].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__16_n_0 | SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 7 | 16 | 2.29 | | CLK | SFP_GEN[47].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[47].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[47].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[47].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | ipb/trans/sm/rctr01_out | ipb/trans/iface/rctr0 | 3 | 16 | 5.33 | | CLK | SFP_GEN[47].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[47].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[47].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[47].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[47].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[47].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[47].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[0].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[0].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[0].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[0].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[0].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[0].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[0].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[0].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 9 | 16 | 1.78 | | CLK | SFP_GEN[0].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[0].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[0].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[0].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[0].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[16].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[16].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__40_n_0 | SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 16 | 3.20 | | CLK | SFP_GEN[16].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[16].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[16].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[16].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[16].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[16].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[16].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[20].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 3 | 16 | 5.33 | | CLK | SFP_GEN[10].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__9_n_0 | SFP_GEN[43].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 16 | 3.20 | | CLK | SFP_GEN[10].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[10].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[10].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[10].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[10].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[10].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[10].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[10].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[10].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[10].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[10].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[10].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[20].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[20].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[20].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[20].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[20].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[20].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[20].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[20].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[20].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[20].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[20].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__19_n_0 | SFP_GEN[37].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 16 | 3.20 | | CLK | SFP_GEN[20].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[19].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[19].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[19].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[19].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[19].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[19].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 9 | 16 | 1.78 | | CLK | SFP_GEN[19].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[19].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 3 | 16 | 5.33 | | CLK | SFP_GEN[19].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[19].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[19].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[19].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[19].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[11].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__5_n_0 | SFP_GEN[28].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 4 | 16 | 4.00 | | CLK | SFP_GEN[11].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[11].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[11].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[11].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[11].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[11].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[11].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 3 | 16 | 5.33 | | CLK | SFP_GEN[11].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[11].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[11].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[11].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[11].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[12].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[12].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[12].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[12].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[12].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[12].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[12].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[12].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[12].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[12].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[12].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[12].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[12].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[13].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[13].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[13].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[13].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[13].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[13].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[13].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[13].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[13].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__35_n_0 | SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 16 | 3.20 | | CLK | SFP_GEN[13].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[13].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[13].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[13].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 9 | 16 | 1.78 | | CLK | SFP_GEN[14].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[14].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[14].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[14].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[14].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[14].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[14].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[14].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[14].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[14].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[14].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[14].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[14].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[15].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[15].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[15].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[15].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[15].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[15].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[15].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[15].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 9 | 16 | 1.78 | | CLK | SFP_GEN[15].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[15].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[15].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[15].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[15].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[16].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__45_n_0 | SFP_GEN[44].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 6 | 16 | 2.67 | | CLK | SFP_GEN[16].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 3 | 16 | 5.33 | | CLK | SFP_GEN[16].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[16].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[17].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[17].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[17].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[17].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[17].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[17].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[17].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[17].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[17].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[17].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[17].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[17].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[17].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[18].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 3 | 16 | 5.33 | | CLK | SFP_GEN[18].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[18].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[18].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[18].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[18].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[18].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[18].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[18].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__18_n_0 | SFP_GEN[38].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 16 | 3.20 | | CLK | SFP_GEN[18].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[18].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[18].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 8 | 16 | 2.00 | | CLK | SFP_GEN[18].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[1].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[1].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/IPbus_gen[0].IPbus_local_inst/addr_local[1]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[1].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[1].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[1].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[1].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[1].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[1].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[1].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[1].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | CLK | SFP_GEN[1].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 3 | 16 | 5.33 | | CLK | SFP_GEN[1].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 4 | 16 | 4.00 | | CLK | SFP_GEN[1].ngCCM_gbt/IPbus_gen[13].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 5 | 16 | 3.20 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/rdBitCount[15]_i_1__44_n_0 | SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 16 | 3.20 | | CLK | SFP_GEN[37].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 6 | 16 | 2.67 | | CLK | SFP_GEN[37].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 7 | 16 | 2.29 | | CLK | SFP_GEN[36].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/IPbus_DataOut[15]_i_1_n_0 | | 3 | 16 | 5.33 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 10 | 17 | 1.70 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 10 | 17 | 1.70 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 3 | 17 | 5.67 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 10 | 17 | 1.70 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 4 | 17 | 4.25 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 3 | 17 | 5.67 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 10 | 17 | 1.70 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 4 | 17 | 4.25 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 4 | 17 | 4.25 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 10 | 17 | 1.70 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 8 | 17 | 2.13 | | clk250 | stat_regs_inst/save_rate0 | stat_regs_inst/cycle_cntr | 3 | 17 | 5.67 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | clk250 | g_clock_rate_din[15].i_rate_ngccm_status0/E[0]_repN_2 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | clk250 | g_clock_rate_din[10].i_rate_ngccm_status0/E[0]_repN_7 | | 3 | 17 | 5.67 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 4 | 17 | 4.25 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 4 | 17 | 4.25 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 13 | 17 | 1.31 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 12 | 17 | 1.42 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 4 | 17 | 4.25 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 11 | 17 | 1.55 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 11 | 17 | 1.55 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 11 | 17 | 1.55 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 10 | 17 | 1.70 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | tx_wordclk | TX_CLKEN_repN_57 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/gbt_txreset_s[0] | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 4 | 17 | 4.25 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 4 | 17 | 4.25 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 4 | 17 | 4.25 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 4 | 17 | 4.25 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 5 | 17 | 3.40 | | tx_wordclk | TX_CLKEN_repN_34 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/gbt_txreset_s[0] | 11 | 17 | 1.55 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 4 | 17 | 4.25 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 11 | 17 | 1.55 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 4 | 17 | 4.25 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 11 | 17 | 1.55 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 10 | 17 | 1.70 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 11 | 17 | 1.55 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 10 | 17 | 1.70 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 10 | 17 | 1.70 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 4 | 17 | 4.25 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 4 | 17 | 4.25 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 10 | 17 | 1.70 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 4 | 17 | 4.25 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 10 | 17 | 1.70 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 4 | 17 | 4.25 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 11 | 17 | 1.55 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 10 | 17 | 1.70 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 4 | 17 | 4.25 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 11 | 17 | 1.55 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 10 | 17 | 1.70 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 9 | 17 | 1.89 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 10 | 17 | 1.70 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/IPbus_gen[11].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/IPbus_gen[10].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 10 | 17 | 1.70 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/IPbus_gen[9].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/IPbus_gen[7].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 10 | 17 | 1.70 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/IPbus_gen[8].IPbus_local_inst/addr_local[7]_i_1_n_0 | | 10 | 17 | 1.70 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 8 | 17 | 2.13 | | i_tcds2_if/fabric_clk_in | | i_tcds2_if/cmp_lpgbtfpga_uplink/in0 | 4 | 17 | 4.25 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 7 | 17 | 2.43 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/IPbus_gen[3].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/IPbus_gen[1].IPbus_local_inst/addr_local[4]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/IPbus_gen[2].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 5 | 17 | 3.40 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/IPbus_gen[4].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 8 | 17 | 2.13 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/IPbus_gen[5].IPbus_local_inst/addr_local[6]_i_1_n_0 | | 6 | 17 | 2.83 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/IPbus_gen[6].IPbus_local_inst/addr_local[5]_i_1_n_0 | | 9 | 17 | 1.89 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[39].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 7 | 18 | 2.57 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[45].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 3 | 18 | 6.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[22].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 4 | 18 | 4.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[23].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 4 | 18 | 4.50 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[24].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 2 | 18 | 9.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[25].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 3 | 18 | 6.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[26].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 2 | 18 | 9.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[37].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 2 | 18 | 9.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[44].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 3 | 18 | 6.00 | | clk250 | stat_regs_inst/wea_repN_5 | | 6 | 18 | 3.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[38].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 2 | 18 | 9.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[27].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 2 | 18 | 9.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[28].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 2 | 18 | 9.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[29].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 2 | 18 | 9.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[30].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 2 | 18 | 9.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[43].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 2 | 18 | 9.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[35].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 3 | 18 | 6.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[42].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 3 | 18 | 6.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[3].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 2 | 18 | 9.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[2].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 4 | 18 | 4.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[0].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 2 | 18 | 9.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[12].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 2 | 18 | 9.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[8].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 4 | 18 | 4.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[17].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 4 | 18 | 4.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[36].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 2 | 18 | 9.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[16].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 3 | 18 | 6.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[33].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 3 | 18 | 6.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[10].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 3 | 18 | 6.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[5].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 4 | 18 | 4.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[47].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 3 | 18 | 6.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[9].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 2 | 18 | 9.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[41].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 5 | 18 | 3.60 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[31].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 3 | 18 | 6.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[21].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 4 | 18 | 4.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[11].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 3 | 18 | 6.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[13].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 3 | 18 | 6.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[7].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 3 | 18 | 6.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[40].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 2 | 18 | 9.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[18].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 2 | 18 | 9.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[19].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 4 | 18 | 4.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[14].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 2 | 18 | 9.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[1].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 2 | 18 | 9.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[20].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 4 | 18 | 4.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[15].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 2 | 18 | 9.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[4].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 5 | 18 | 3.60 | | CLKFBIN | ipb/udp_if/rx_reset_block/rarp.pkt_mask_reg[37] | | 3 | 18 | 6.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[32].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 4 | 18 | 4.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[46].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 5 | 18 | 3.60 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[34].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 4 | 18 | 4.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[6].ngCCM_gbt/RX_Word_rx40_reg[78]_9[0] | ctrl_regs_inst/Q[5] | 3 | 18 | 6.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[6].rx_clken_sr_reg[6][3]_2[0] | | 2 | 20 | 10.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[9].gbt_rxgearbox_inst/reg0[59]_i_1__40_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/AR[0] | 8 | 20 | 2.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[6].gbt_rxgearbox_inst/reg0[59]_i_1__19_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 6 | 20 | 3.33 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[9].gbt_rxgearbox_inst/reg0[19]_i_1__40_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[6].gbt_rxgearbox_inst/reg0[39]_i_1__19_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 7 | 20 | 2.86 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[9].gbt_rxgearbox_inst/reg0[99]_i_1__40_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[6].gbt_rxgearbox_inst/reg0[19]_i_1__19_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 9 | 20 | 2.22 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[6].gbt_rxgearbox_inst/reg0[79]_i_1__19_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 5 | 20 | 4.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[6].gbt_rxgearbox_inst/reg0[99]_i_1__19_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 8 | 20 | 2.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[5].rx_clken_sr_reg[5][3]_2[0] | | 3 | 20 | 6.67 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[9].gbt_rxgearbox_inst/reg0[39]_i_1__40_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/AR[0] | 4 | 20 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[5].gbt_rxgearbox_inst/reg0[59]_i_1__20_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/AR[0] | 9 | 20 | 2.22 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[5].gbt_rxgearbox_inst/reg0[79]_i_1__20_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[5].gbt_rxgearbox_inst/reg0[99]_i_1__20_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[5].gbt_rxgearbox_inst/reg0[39]_i_1__20_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[5].gbt_rxgearbox_inst/reg0[19]_i_1__20_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/AR[0] | 8 | 20 | 2.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[9].gbt_rxgearbox_inst/reg0[79]_i_1__40_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[4].gbt_rxgearbox_inst/reg0[99]_i_1__21_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/p_0_in__0[56] | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/AR[0] | 11 | 20 | 1.82 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[4].gbt_rxgearbox_inst/reg0[79]_i_1__21_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/AR[0] | 8 | 20 | 2.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[4].gbt_rxgearbox_inst/reg0[19]_i_1__21_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[4].gbt_rxgearbox_inst/reg0[59]_i_1__21_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/AR[0] | 9 | 20 | 2.22 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[4].gbt_rxgearbox_inst/reg0[39]_i_1__21_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/AR[0] | 4 | 20 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[3].rx_clken_sr_reg[3][3]_1[0] | | 4 | 20 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/reg0[79]_i_1__22_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/reg0[19]_i_1__22_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/reg0[99]_i_1__22_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/AR[0] | 4 | 20 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/reg0[59]_i_1__22_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/reg0[39]_i_1__22_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/AR[0] | 5 | 20 | 4.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[2].rx_clken_sr_reg[2][3]_1[0] | | 4 | 20 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/reg0[99]_i_1__12_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/AR[0] | 8 | 20 | 2.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/reg0[59]_i_1__12_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/reg0[39]_i_1__12_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/reg0[19]_i_1__12_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/AR[0] | 9 | 20 | 2.22 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/reg0[79]_i_1__12_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/AR[0] | 5 | 20 | 4.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[1].rx_clken_sr_reg[1][3]_1[0] | | 4 | 20 | 5.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/p_0_in__0[16] | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/AR[0] | 11 | 20 | 1.82 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/p_0_in__0[96] | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/AR[0] | 8 | 20 | 2.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/p_0_in__0[16] | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/AR[0] | 9 | 20 | 2.22 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/p_0_in__0[76] | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/p_0_in__0[56] | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/AR[0] | 8 | 20 | 2.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/p_0_in__0[96] | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/AR[0] | 8 | 20 | 2.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/p_0_in__0[36] | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/AR[0] | 5 | 20 | 4.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[0].rx_clken_sr_reg[0][3]_2[0] | | 4 | 20 | 5.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[8].rx_clken_sr_reg[8][3]_2[0] | | 3 | 20 | 6.67 | | CLKFBIN | | ipb/udp_if/rx_ram_mux/ram_ready | 9 | 20 | 2.22 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[0].gbt_rxgearbox_inst/reg0[99]_i_1__13_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/AR[0] | 5 | 20 | 4.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[0].gbt_rxgearbox_inst/reg0[79]_i_1__13_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | fabric_clk | fabric_clk_div2 | ipb_rst_BUFG | 15 | 20 | 1.33 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[0].gbt_rxgearbox_inst/reg0[39]_i_1__13_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/AR[0] | 5 | 20 | 4.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[0].gbt_rxgearbox_inst/reg0[19]_i_1__13_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[0].gbt_rxgearbox_inst/reg0[59]_i_1__13_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/AR[0] | 10 | 20 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[8].gbt_rxgearbox_inst/reg0[99]_i_1__5_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/AR[0] | 8 | 20 | 2.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[8].gbt_rxgearbox_inst/reg0[79]_i_1__5_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[8].gbt_rxgearbox_inst/reg0[19]_i_1__5_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[8].gbt_rxgearbox_inst/reg0[59]_i_1__5_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[8].gbt_rxgearbox_inst/reg0[39]_i_1__5_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/AR[0] | 8 | 20 | 2.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[8].gbt_rxgearbox_inst/reg0[99]_i_1__41_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/AR[0] | 8 | 20 | 2.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/gbtBank_Clk_gen[8].rx_clken_sr_reg[8][3]_1[0] | | 4 | 20 | 5.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[7].gbt_rxgearbox_inst/reg0[99]_i_1__6_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/AR[0] | 8 | 20 | 2.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[7].gbt_rxgearbox_inst/reg0[59]_i_1__6_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/AR[0] | 10 | 20 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[7].gbt_rxgearbox_inst/reg0[39]_i_1__6_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[7].gbt_rxgearbox_inst/reg0[79]_i_1__6_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/AR[0] | 5 | 20 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[8].gbt_rxgearbox_inst/reg0[79]_i_1__41_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[7].gbt_rxgearbox_inst/reg0[19]_i_1__6_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/gbtBank_Clk_gen[7].rx_clken_sr_reg[7][3]_1[0] | | 2 | 20 | 10.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[6].gbt_rxgearbox_inst/reg0[79]_i_1__7_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 5 | 20 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[8].gbt_rxgearbox_inst/reg0[59]_i_1__41_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/AR[0] | 11 | 20 | 1.82 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[6].gbt_rxgearbox_inst/reg0[99]_i_1__7_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 7 | 20 | 2.86 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[6].gbt_rxgearbox_inst/reg0[19]_i_1__7_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 12 | 20 | 1.67 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[6].gbt_rxgearbox_inst/reg0[39]_i_1__7_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 8 | 20 | 2.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[6].gbt_rxgearbox_inst/reg0[59]_i_1__7_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 9 | 20 | 2.22 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[8].gbt_rxgearbox_inst/reg0[39]_i_1__41_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/gbtBank_Clk_gen[6].rx_clken_sr_reg[6][3]_1[0] | | 4 | 20 | 5.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[8].gbt_rxgearbox_inst/reg0[19]_i_1__41_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/AR[0] | 11 | 20 | 1.82 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[5].gbt_rxgearbox_inst/reg0[59]_i_1__8_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/AR[0] | 10 | 20 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[5].gbt_rxgearbox_inst/reg0[39]_i_1__8_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/AR[0] | 4 | 20 | 5.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[5].gbt_rxgearbox_inst/reg0[99]_i_1__8_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[5].gbt_rxgearbox_inst/reg0[79]_i_1__8_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/AR[0] | 8 | 20 | 2.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[5].gbt_rxgearbox_inst/reg0[19]_i_1__8_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/AR[0] | 8 | 20 | 2.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/gbtBank_Clk_gen[5].rx_clken_sr_reg[5][3]_1[0] | | 4 | 20 | 5.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[4].gbt_rxgearbox_inst/reg0[59]_i_1__9_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/AR[0] | 9 | 20 | 2.22 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[4].gbt_rxgearbox_inst/reg0[99]_i_1__9_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[4].gbt_rxgearbox_inst/reg0[79]_i_1__9_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[4].gbt_rxgearbox_inst/reg0[39]_i_1__9_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[4].gbt_rxgearbox_inst/reg0[19]_i_1__9_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/gbtBank_Clk_gen[4].rx_clken_sr_reg[4][3]_1[0] | | 4 | 20 | 5.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[11].gbt_rxgearbox_inst/reg0[39]_i_1__2_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[11].gbt_rxgearbox_inst/reg0[99]_i_1__2_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/AR[0] | 10 | 20 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[11].gbt_rxgearbox_inst/reg0[19]_i_1__38_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[11].gbt_rxgearbox_inst/reg0[59]_i_1__2_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/AR[0] | 9 | 20 | 2.22 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[11].gbt_rxgearbox_inst/reg0[79]_i_1__2_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[11].gbt_rxgearbox_inst/reg0[19]_i_1__2_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/AR[0] | 8 | 20 | 2.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/gbtBank_Clk_gen[11].rx_clken_sr_reg[11][3]_1[0] | | 2 | 20 | 10.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[10].gbt_rxgearbox_inst/reg0[99]_i_1__3_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/AR[0] | 8 | 20 | 2.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[10].gbt_rxgearbox_inst/reg0[19]_i_1__3_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/AR[0] | 10 | 20 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[10].gbt_rxgearbox_inst/reg0[79]_i_1__3_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/AR[0] | 5 | 20 | 4.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[10].gbt_rxgearbox_inst/reg0[59]_i_1__3_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/AR[0] | 8 | 20 | 2.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[10].gbt_rxgearbox_inst/reg0[39]_i_1__3_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/gbtBank_Clk_gen[10].rx_clken_sr_reg[10][3]_1[0] | | 4 | 20 | 5.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[9].gbt_rxgearbox_inst/reg0[99]_i_1__4_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/AR[0] | 8 | 20 | 2.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[7].rx_clken_sr_reg[7][3]_1[0] | | 2 | 20 | 10.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[9].gbt_rxgearbox_inst/reg0[79]_i_1__4_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/AR[0] | 9 | 20 | 2.22 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[9].gbt_rxgearbox_inst/reg0[59]_i_1__4_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/AR[0] | 8 | 20 | 2.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[9].gbt_rxgearbox_inst/reg0[39]_i_1__4_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/AR[0] | 8 | 20 | 2.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[9].gbt_rxgearbox_inst/reg0[19]_i_1__4_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/AR[0] | 8 | 20 | 2.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/gbtBank_Clk_gen[9].rx_clken_sr_reg[9][3]_1[0] | | 3 | 20 | 6.67 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[0].gbt_rxgearbox_inst/reg0[99]_i_1__1_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[0].gbt_rxgearbox_inst/reg0[19]_i_1__1_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[0].gbt_rxgearbox_inst/reg0[59]_i_1__1_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[0].gbt_rxgearbox_inst/reg0[39]_i_1__1_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[0].gbt_rxgearbox_inst/reg0[79]_i_1__1_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/AR[0] | 5 | 20 | 4.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/gbtBank_Clk_gen[0].rx_clken_sr_reg[0][3]_1[0] | | 3 | 20 | 6.67 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/reg0[99]_i_1__0_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/AR[0] | 9 | 20 | 2.22 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/reg0[79]_i_1__0_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/AR[0] | 5 | 20 | 4.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/reg0[59]_i_1__0_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/reg0[39]_i_1__0_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[7].gbt_rxgearbox_inst/reg0[59]_i_1__42_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/reg0[19]_i_1__0_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/gbtBank_Clk_gen[2].rx_clken_sr_reg[2][3]_1[0] | | 3 | 20 | 6.67 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[7].gbt_rxgearbox_inst/reg0[99]_i_1__42_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/reg0[79]_i_1__10_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/reg0[39]_i_1__10_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/reg0[19]_i_1__10_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/reg0[59]_i_1__10_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[7].gbt_rxgearbox_inst/reg0[19]_i_1__42_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/reg0[99]_i_1__10_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/AR[0] | 10 | 20 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/gbtBank_Clk_gen[3].rx_clken_sr_reg[3][3]_0[0] | | 3 | 20 | 6.67 | | tx_wordclk | TX_CLKEN_repN_31 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbt_txreset_s[0] | 9 | 20 | 2.22 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[7].gbt_rxgearbox_inst/reg0[79]_i_1__42_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[7].gbt_rxgearbox_inst/reg0[39]_i_1__42_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/gbt_txreset_s[0] | 10 | 20 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[6].rx_clken_sr_reg[6][3]_1[0] | | 3 | 20 | 6.67 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/gbt_txreset_s[0] | 13 | 20 | 1.54 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/AR[0] | 11 | 20 | 1.82 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/gbt_txreset_s[0] | 17 | 20 | 1.18 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/gbt_txreset_s[0] | 17 | 20 | 1.18 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/gbt_txreset_s[0] | 15 | 20 | 1.33 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[6].gbt_rxgearbox_inst/reg0[39]_i_1__43_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 5 | 20 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[6].gbt_rxgearbox_inst/reg0[19]_i_1__43_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 11 | 20 | 1.82 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[6].gbt_rxgearbox_inst/reg0[59]_i_1__43_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 7 | 20 | 2.86 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbt_txreset_s[0] | 17 | 20 | 1.18 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[6].gbt_rxgearbox_inst/reg0[99]_i_1__43_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 8 | 20 | 2.50 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbt_txreset_s[0] | 14 | 20 | 1.43 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[6].gbt_rxgearbox_inst/reg0[79]_i_1__43_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 7 | 20 | 2.86 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbt_txreset_s[0] | 14 | 20 | 1.43 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbt_txreset_s[0] | 15 | 20 | 1.33 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/gbt_txreset_s[0] | 11 | 20 | 1.82 | | tx_wordclk | | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/gbt_txreset_s[0] | 14 | 20 | 1.43 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbt_txreset_s[0] | 12 | 20 | 1.67 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/gbt_txreset_s[0] | 13 | 20 | 1.54 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[4].rx_clken_sr_reg[4][3]_1[0] | | 4 | 20 | 5.00 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/gbt_txreset_s[0] | 17 | 20 | 1.18 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[5].rx_clken_sr_reg[5][3]_2[0] | | 3 | 20 | 6.67 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/gbt_txreset_s[0] | 18 | 20 | 1.11 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbt_txreset_s[0] | 14 | 20 | 1.43 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbt_txreset_s[0] | 14 | 20 | 1.43 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbt_txreset_s[0] | 18 | 20 | 1.11 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/gbt_txreset_s[0] | 16 | 20 | 1.25 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/AR[0] | 15 | 20 | 1.33 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[11].gbt_rxgearbox_inst/reg0[99]_i_1__38_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[5].gbt_rxgearbox_inst/reg0[79]_i_1__44_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/gbt_txreset_s[0] | 15 | 20 | 1.33 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[5].gbt_rxgearbox_inst/reg0[19]_i_1__44_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/AR[0] | 8 | 20 | 2.50 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/gbt_txreset_s[0] | 15 | 20 | 1.33 | | tx_wordclk | | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/gbt_txreset_s[0] | 13 | 20 | 1.54 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/gbt_txreset_s[0] | 16 | 20 | 1.25 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[0].rx_clken_sr_reg[0][3]_2[0] | | 6 | 20 | 3.33 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[5].gbt_rxgearbox_inst/reg0[59]_i_1__44_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/gbt_txreset_s[0] | 11 | 20 | 1.82 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[5].gbt_rxgearbox_inst/reg0[99]_i_1__44_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/AR[0] | 8 | 20 | 2.50 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/gbt_txreset_s[0] | 14 | 20 | 1.43 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[5].gbt_rxgearbox_inst/reg0[39]_i_1__44_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/AR[0] | 5 | 20 | 4.00 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbt_txreset_s[0] | 14 | 20 | 1.43 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbt_txreset_s[0] | 13 | 20 | 1.54 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbt_txreset_s[0] | 17 | 20 | 1.18 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbt_txreset_s[0] | 12 | 20 | 1.67 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/gbt_txreset_s[0] | 15 | 20 | 1.33 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/AR[0] | 15 | 20 | 1.33 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/gbt_txreset_s[0] | 14 | 20 | 1.43 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/gbt_txreset_s[0] | 17 | 20 | 1.18 | | tx_wordclk | | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/gbt_txreset_s[0] | 12 | 20 | 1.67 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/gbt_txreset_s[0] | 16 | 20 | 1.25 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[0].gbt_rxgearbox_inst/reg0[59]_i_1__37_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/AR[0] | 9 | 20 | 2.22 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/gbt_txreset_s[0] | 13 | 20 | 1.54 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/gbt_txreset_s[0] | 14 | 20 | 1.43 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbt_txreset_s[0] | 13 | 20 | 1.54 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbt_txreset_s[0] | 15 | 20 | 1.33 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[4].rx_clken_sr_reg[4][3]_2[0] | | 5 | 20 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[0].gbt_rxgearbox_inst/reg0[19]_i_1__37_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbt_txreset_s[0] | 13 | 20 | 1.54 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[0].gbt_rxgearbox_inst/reg0[79]_i_1__37_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/AR[0] | 10 | 20 | 2.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbt_txreset_s[0] | 13 | 20 | 1.54 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/gbt_txreset_s[0] | 15 | 20 | 1.33 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[0].gbt_rxgearbox_inst/reg0[39]_i_1__37_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/AR[0] | 8 | 20 | 2.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[4].gbt_rxgearbox_inst/reg0[59]_i_1__45_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/AR[0] | 8 | 20 | 2.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[0].gbt_rxgearbox_inst/reg0[99]_i_1__37_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[4].gbt_rxgearbox_inst/reg0[99]_i_1__45_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[4].gbt_rxgearbox_inst/reg0[79]_i_1__45_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/AR[0] | 12 | 20 | 1.67 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[4].gbt_rxgearbox_inst/reg0[39]_i_1__45_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/AR[0] | 4 | 20 | 5.00 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/gbt_txreset_s[0] | 18 | 20 | 1.11 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[4].gbt_rxgearbox_inst/reg0[19]_i_1__45_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/gbt_txreset_s[0] | 17 | 20 | 1.18 | | tx_wordclk | | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/gbt_txreset_s[0] | 12 | 20 | 1.67 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[3].rx_clken_sr_reg[3][3]_2[0] | | 2 | 20 | 10.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/reg0[99]_i_1__46_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/AR[0] | 8 | 20 | 2.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/reg0[19]_i_1__46_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/reg0[79]_i_1__46_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/AR[0] | 5 | 20 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/reg0[39]_i_1__46_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/reg0[59]_i_1__46_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[11].rx_clken_sr_reg[11][3]_1[0] | | 4 | 20 | 5.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[2].rx_clken_sr_reg[2][3]_2[0] | | 2 | 20 | 10.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[11].rx_clken_sr_reg[11][3]_2[0] | | 3 | 20 | 6.67 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/reg0[39]_i_1__36_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | clk250 | stat_regs_inst/i_cntr_rst_ctrl/reset_type | ipb_rst_BUFG | 5 | 20 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/reg0[19]_i_1__36_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/reg0[59]_i_1__36_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/AR[0] | 9 | 20 | 2.22 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/reg0[79]_i_1__36_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/reg0[99]_i_1__36_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[11].gbt_rxgearbox_inst/reg0[79]_i_1__38_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[1].rx_clken_sr_reg[1][3]_2[0] | | 2 | 20 | 10.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/reg0[59]_i_1__34_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/AR[0] | 12 | 20 | 1.67 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[11].gbt_rxgearbox_inst/reg0[59]_i_1__38_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/AR[0] | 5 | 20 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[10].rx_clken_sr_reg[10][3]_2[0] | | 3 | 20 | 6.67 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[10].gbt_rxgearbox_inst/reg0[19]_i_1__39_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/AR[0] | 8 | 20 | 2.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[10].gbt_rxgearbox_inst/reg0[79]_i_1__39_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/AR[0] | 10 | 20 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[10].gbt_rxgearbox_inst/reg0[59]_i_1__39_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/AR[0] | 12 | 20 | 1.67 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[10].gbt_rxgearbox_inst/reg0[99]_i_1__39_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[10].gbt_rxgearbox_inst/reg0[39]_i_1__39_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/AR[0] | 10 | 20 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[11].gbt_rxgearbox_inst/reg0[39]_i_1__38_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/AR[0] | 8 | 20 | 2.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/p_0_in__0[76] | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[9].rx_clken_sr_reg[9][3]_2[0] | | 2 | 20 | 10.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/p_0_in__0[36] | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[11].gbt_rxgearbox_inst/reg0[59]_i_1__26_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/AR[0] | 10 | 20 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[11].gbt_rxgearbox_inst/reg0[99]_i_1__26_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[11].gbt_rxgearbox_inst/reg0[79]_i_1__26_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[11].gbt_rxgearbox_inst/reg0[39]_i_1__26_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/AR[0] | 5 | 20 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[11].gbt_rxgearbox_inst/reg0[19]_i_1__26_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/AR[0] | 9 | 20 | 2.22 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[10].rx_clken_sr_reg[10][3]_2[0] | | 4 | 20 | 5.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[10].gbt_rxgearbox_inst/reg0[19]_i_1__27_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/AR[0] | 8 | 20 | 2.50 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[10].gbt_rxgearbox_inst/reg0[39]_i_1__27_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/AR[0] | 5 | 20 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[10].gbt_rxgearbox_inst/reg0[59]_i_1__27_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[10].gbt_rxgearbox_inst/reg0[99]_i_1__27_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/AR[0] | 8 | 20 | 2.50 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[10].gbt_rxgearbox_inst/reg0[79]_i_1__27_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/AR[0] | 9 | 20 | 2.22 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/reg0[99]_i_1__24_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/AR[0] | 8 | 20 | 2.50 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[9].rx_clken_sr_reg[9][3]_2[0] | | 2 | 20 | 10.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[9].gbt_rxgearbox_inst/reg0[39]_i_1__28_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/AR[0] | 9 | 20 | 2.22 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[9].gbt_rxgearbox_inst/reg0[79]_i_1__28_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/AR[0] | 9 | 20 | 2.22 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[9].gbt_rxgearbox_inst/reg0[59]_i_1__28_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/AR[0] | 8 | 20 | 2.50 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[9].gbt_rxgearbox_inst/reg0[19]_i_1__28_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[9].gbt_rxgearbox_inst/reg0[99]_i_1__28_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/AR[0] | 8 | 20 | 2.50 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[8].rx_clken_sr_reg[8][3]_2[0] | | 2 | 20 | 10.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[8].gbt_rxgearbox_inst/reg0[79]_i_1__29_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/AR[0] | 4 | 20 | 5.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[8].gbt_rxgearbox_inst/reg0[59]_i_1__29_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/AR[0] | 8 | 20 | 2.50 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[8].gbt_rxgearbox_inst/reg0[99]_i_1__29_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[8].gbt_rxgearbox_inst/reg0[39]_i_1__29_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/AR[0] | 5 | 20 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[8].gbt_rxgearbox_inst/reg0[19]_i_1__29_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/AR[0] | 8 | 20 | 2.50 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[7].rx_clken_sr_reg[7][3]_2[0] | | 2 | 20 | 10.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[7].gbt_rxgearbox_inst/reg0[79]_i_1__30_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[7].gbt_rxgearbox_inst/reg0[59]_i_1__30_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/AR[0] | 9 | 20 | 2.22 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[7].gbt_rxgearbox_inst/reg0[99]_i_1__30_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[7].gbt_rxgearbox_inst/reg0[39]_i_1__30_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[7].gbt_rxgearbox_inst/reg0[19]_i_1__30_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[6].rx_clken_sr_reg[6][3]_1[0] | | 3 | 20 | 6.67 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[6].gbt_rxgearbox_inst/reg0[99]_i_1__31_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 6 | 20 | 3.33 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[6].gbt_rxgearbox_inst/reg0[59]_i_1__31_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 9 | 20 | 2.22 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[6].gbt_rxgearbox_inst/reg0[19]_i_1__31_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 8 | 20 | 2.50 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[6].gbt_rxgearbox_inst/reg0[39]_i_1__31_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 5 | 20 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[6].gbt_rxgearbox_inst/reg0[79]_i_1__31_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 5 | 20 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[5].rx_clken_sr_reg[5][3]_2[0] | | 4 | 20 | 5.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[5].gbt_rxgearbox_inst/reg0[99]_i_1__32_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/AR[0] | 10 | 20 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[5].gbt_rxgearbox_inst/reg0[79]_i_1__32_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[5].gbt_rxgearbox_inst/reg0[19]_i_1__32_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[5].gbt_rxgearbox_inst/reg0[59]_i_1__32_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[5].gbt_rxgearbox_inst/reg0[39]_i_1__32_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[4].rx_clken_sr_reg[4][3]_1[0] | | 4 | 20 | 5.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[4].gbt_rxgearbox_inst/reg0[79]_i_1__33_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[4].gbt_rxgearbox_inst/reg0[39]_i_1__33_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[4].gbt_rxgearbox_inst/reg0[99]_i_1__33_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/AR[0] | 8 | 20 | 2.50 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[4].gbt_rxgearbox_inst/reg0[59]_i_1__33_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/AR[0] | 9 | 20 | 2.22 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[4].gbt_rxgearbox_inst/reg0[19]_i_1__33_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[3].rx_clken_sr_reg[3][3]_2[0] | | 2 | 20 | 10.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/reg0[99]_i_1__34_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/AR[0] | 9 | 20 | 2.22 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/reg0[79]_i_1__34_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/reg0[39]_i_1__34_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/reg0[19]_i_1__34_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/AR[0] | 10 | 20 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[2].rx_clken_sr_reg[2][3]_2[0] | | 2 | 20 | 10.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/reg0[39]_i_1__24_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/reg0[59]_i_1__24_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/AR[0] | 8 | 20 | 2.50 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/reg0[79]_i_1__24_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/reg0[19]_i_1__24_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[1].rx_clken_sr_reg[1][3]_1[0] | | 2 | 20 | 10.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/p_0_in__0[76] | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/AR[0] | 4 | 20 | 5.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/p_0_in__0[36] | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/AR[0] | 5 | 20 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/p_0_in__0[56] | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/p_0_in__0[16] | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/p_0_in__0[96] | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[0].rx_clken_sr_reg[0][3]_2[0] | | 3 | 20 | 6.67 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[0].gbt_rxgearbox_inst/reg0[59]_i_1__25_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/AR[0] | 8 | 20 | 2.50 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[0].gbt_rxgearbox_inst/reg0[39]_i_1__25_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[0].gbt_rxgearbox_inst/reg0[79]_i_1__25_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/AR[0] | 5 | 20 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[0].gbt_rxgearbox_inst/reg0[19]_i_1__25_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/AR[0] | 10 | 20 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[0].gbt_rxgearbox_inst/reg0[99]_i_1__25_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/AR[0] | 9 | 20 | 2.22 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[11].rx_clken_sr_reg[11][3]_2[0] | | 3 | 20 | 6.67 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[11].gbt_rxgearbox_inst/reg0[79]_i_1__14_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[11].gbt_rxgearbox_inst/reg0[19]_i_1__14_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/AR[0] | 9 | 20 | 2.22 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[11].gbt_rxgearbox_inst/reg0[39]_i_1__14_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[11].gbt_rxgearbox_inst/reg0[99]_i_1__14_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/AR[0] | 4 | 20 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[11].gbt_rxgearbox_inst/reg0[59]_i_1__14_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[10].rx_clken_sr_reg[10][3]_2[0] | | 3 | 20 | 6.67 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[10].gbt_rxgearbox_inst/reg0[59]_i_1__15_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[10].gbt_rxgearbox_inst/reg0[99]_i_1__15_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/AR[0] | 4 | 20 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[10].gbt_rxgearbox_inst/reg0[39]_i_1__15_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/AR[0] | 8 | 20 | 2.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[10].gbt_rxgearbox_inst/reg0[19]_i_1__15_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/AR[0] | 8 | 20 | 2.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[10].gbt_rxgearbox_inst/reg0[79]_i_1__15_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[9].rx_clken_sr_reg[9][3]_2[0] | | 3 | 20 | 6.67 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[9].gbt_rxgearbox_inst/reg0[39]_i_1__16_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/AR[0] | 4 | 20 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[9].gbt_rxgearbox_inst/reg0[19]_i_1__16_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[9].gbt_rxgearbox_inst/reg0[79]_i_1__16_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[9].gbt_rxgearbox_inst/reg0[59]_i_1__16_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[9].gbt_rxgearbox_inst/reg0[99]_i_1__16_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/AR[0] | 9 | 20 | 2.22 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[8].rx_clken_sr_reg[8][3]_2[0] | | 4 | 20 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[8].gbt_rxgearbox_inst/reg0[99]_i_1__17_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[8].gbt_rxgearbox_inst/reg0[19]_i_1__17_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[8].gbt_rxgearbox_inst/reg0[59]_i_1__17_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/AR[0] | 9 | 20 | 2.22 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[8].gbt_rxgearbox_inst/reg0[39]_i_1__17_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[8].gbt_rxgearbox_inst/reg0[79]_i_1__17_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/gbtBank_Clk_gen[1].rx_clken_sr_reg[1][3]_1[0] | | 4 | 20 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[7].rx_clken_sr_reg[7][3]_1[0] | | 3 | 20 | 6.67 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/p_0_in__0[76] | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/p_0_in__0[56] | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/AR[0] | 7 | 20 | 2.86 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/p_0_in__0[16] | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/AR[0] | 8 | 20 | 2.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/p_0_in__0[36] | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/AR[0] | 4 | 20 | 5.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/p_0_in__0[96] | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[7].gbt_rxgearbox_inst/reg0[39]_i_1__18_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/AR[0] | 8 | 20 | 2.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[7].gbt_rxgearbox_inst/reg0[59]_i_1__18_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[7].gbt_rxgearbox_inst/reg0[99]_i_1__18_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/AR[0] | 5 | 20 | 4.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[7].gbt_rxgearbox_inst/reg0[79]_i_1__18_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/AR[0] | 6 | 20 | 3.33 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[7].gbt_rxgearbox_inst/reg0[19]_i_1__18_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/AR[0] | 9 | 20 | 2.22 | | CLKFBIN | ipb/udp_if/RARP_block/tick | rst_clk125 | 4 | 21 | 5.25 | | tx_wordclk | TX_CLKEN_repN_49 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/gbt_txreset_s[0] | 9 | 21 | 2.33 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 11 | 22 | 2.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 12 | 22 | 1.83 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 11 | 22 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 10 | 22 | 2.20 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 14 | 22 | 1.57 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 12 | 22 | 1.83 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 10 | 22 | 2.20 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 8 | 22 | 2.75 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 11 | 22 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 13 | 22 | 1.69 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 11 | 22 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 13 | 22 | 1.69 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 14 | 22 | 1.57 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 12 | 22 | 1.83 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 10 | 22 | 2.20 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 12 | 22 | 1.83 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 12 | 22 | 1.83 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 13 | 22 | 1.69 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 10 | 22 | 2.20 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 10 | 22 | 2.20 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 12 | 22 | 1.83 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 8 | 22 | 2.75 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 9 | 22 | 2.44 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 12 | 22 | 1.83 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 12 | 22 | 1.83 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 10 | 22 | 2.20 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 10 | 22 | 2.20 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 10 | 22 | 2.20 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 7 | 22 | 3.14 | | CLKFBIN | ipb/udp_if/rx_packet_parser/reliable_data | | 5 | 22 | 4.40 | | CLKFBIN | eth/phy/U0/transceiver_inst/toggle_i_1_n_0 | eth/phy/U0/gig_ethernet_pcs_pma_0_core/gpcs_pma_inst/MGT_TX_RESET | 6 | 22 | 3.67 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 11 | 22 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 13 | 22 | 1.69 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 11 | 22 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 10 | 22 | 2.20 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 11 | 22 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 10 | 22 | 2.20 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 12 | 22 | 1.83 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 13 | 22 | 1.69 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 12 | 22 | 1.83 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 11 | 22 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 11 | 22 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 12 | 22 | 1.83 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 11 | 22 | 2.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 13 | 22 | 1.69 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 11 | 22 | 2.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 10 | 22 | 2.20 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 12 | 22 | 1.83 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 11 | 22 | 2.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | | | 10 | 22 | 2.20 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 8 | 23 | 2.88 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[47].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 8 | 23 | 2.88 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 23 | 4.60 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[29].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 7 | 23 | 3.29 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 11 | 23 | 2.09 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 12 | 23 | 1.92 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[32].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 7 | 23 | 3.29 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 5 | 23 | 4.60 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[42].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 8 | 23 | 2.88 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 11 | 23 | 2.09 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[36].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 7 | 23 | 3.29 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[45].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 8 | 23 | 2.88 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[35].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 8 | 23 | 2.88 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 5 | 23 | 4.60 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[41].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 6 | 23 | 3.83 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 12 | 23 | 1.92 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 7 | 23 | 3.29 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 11 | 23 | 2.09 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 11 | 23 | 2.09 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[38].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 23 | 4.60 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[27].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 23 | 4.60 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 5 | 23 | 4.60 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[43].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 7 | 23 | 3.29 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[17].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 7 | 23 | 3.29 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[46].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 23 | 4.60 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[18].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 8 | 23 | 2.88 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 6 | 23 | 3.83 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[19].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 7 | 23 | 3.29 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 5 | 23 | 4.60 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 11 | 23 | 2.09 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 6 | 23 | 3.83 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[26].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 11 | 23 | 2.09 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | i_tcds2_if/i_mgt_wrapper/i_txusrclk/bbstub_txoutclk_out[0] | i_tcds2_if/tx_strobe | ctrl_regs_inst/regs_reg[1][2]_rep_1 | 11 | 23 | 2.09 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 12 | 23 | 1.92 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 5 | 23 | 4.60 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 11 | 23 | 2.09 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 12 | 23 | 1.92 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 5 | 23 | 4.60 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 5 | 23 | 4.60 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[39].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 6 | 23 | 3.83 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 10 | 23 | 2.30 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[37].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 23 | 4.60 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 5 | 23 | 4.60 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 11 | 23 | 2.09 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 8 | 23 | 2.88 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[0].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 6 | 23 | 3.83 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | i_I2C_if/I2C_array[0].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | i_I2C_if/I2C_array[1].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | i_I2C_if/I2C_array[8].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | i_I2C_if/I2C_array[6].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | i_I2C_if/I2C_array[7].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | i_I2C_if/I2C_array[2].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 5 | 23 | 4.60 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | i_I2C_if/I2C_array[3].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | i_I2C_if/I2C_array[4].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[34].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 7 | 23 | 3.29 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | i_I2C_if/I2C_array[5].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 12 | 23 | 1.92 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 5 | 23 | 4.60 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 5 | 23 | 4.60 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[25].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 23 | 4.60 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[13].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 9 | 23 | 2.56 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[20].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 8 | 23 | 2.88 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 8 | 23 | 2.88 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[40].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 7 | 23 | 3.29 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 5 | 23 | 4.60 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 10 | 23 | 2.30 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[31].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 6 | 23 | 3.83 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 5 | 23 | 4.60 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 7 | 23 | 3.29 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[44].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 23 | 4.60 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[16].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 6 | 23 | 3.83 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[33].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 10 | 23 | 2.30 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[24].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 23 | 4.60 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[28].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 7 | 23 | 3.29 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 5 | 23 | 4.60 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 12 | 23 | 1.92 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[14].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 9 | 23 | 2.56 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 9 | 23 | 2.56 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[30].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 12 | 23 | 1.92 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[21].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 6 | 23 | 3.83 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[23].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 23 | 4.60 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 5 | 23 | 4.60 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 10 | 23 | 2.30 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[22].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 8 | 23 | 2.88 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_0[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[5].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[2].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[3].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[0].buffer_server/E[0] | ipb_rst_BUFG | 9 | 23 | 2.56 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[6].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[1].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 6 | 23 | 3.83 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[4].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[8].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[9].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[10].buffer_server/E[0] | ipb_rst_BUFG | 8 | 23 | 2.88 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[7].buffer_server/E[0] | ipb_rst_BUFG | 6 | 23 | 3.83 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/LocalJTAGBridge_inst/ClkDiv_o | SFP_GEN[15].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 23 | 4.60 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[11].buffer_server/E[0] | ipb_rst_BUFG | 7 | 23 | 3.29 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 13 | 24 | 1.85 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 12 | 24 | 2.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 14 | 24 | 1.71 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 14 | 24 | 1.71 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 14 | 24 | 1.71 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 13 | 24 | 1.85 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 13 | 24 | 1.85 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 12 | 24 | 2.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 14 | 24 | 1.71 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 13 | 24 | 1.85 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 12 | 24 | 2.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 14 | 24 | 1.71 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 6 | 24 | 4.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 6 | 24 | 4.00 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 6 | 24 | 4.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 6 | 24 | 4.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 6 | 24 | 4.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 6 | 24 | 4.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 6 | 24 | 4.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 6 | 24 | 4.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 13 | 24 | 1.85 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 6 | 24 | 4.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 15 | 24 | 1.60 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 13 | 24 | 1.85 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 13 | 24 | 1.85 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 13 | 24 | 1.85 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 5 | 24 | 4.80 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 6 | 24 | 4.00 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 6 | 24 | 4.00 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 12 | 24 | 2.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 13 | 24 | 1.85 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 6 | 24 | 4.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 12 | 24 | 2.00 | | CLKFBIN | ipb/udp_if/rx_reset_block/emacclientrxdvld_reg | | 7 | 24 | 3.43 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLKFBIN | eth/phy/U0/transceiver_inst/toggle | eth/phy/U0/gig_ethernet_pcs_pma_0_core/gpcs_pma_inst/MGT_RX_RESET | 6 | 24 | 4.00 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 12 | 24 | 2.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 12 | 24 | 2.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 13 | 24 | 1.85 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 6 | 24 | 4.00 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 13 | 24 | 1.85 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 13 | 24 | 1.85 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 14 | 24 | 1.71 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 6 | 24 | 4.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 12 | 24 | 2.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 6 | 24 | 4.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 6 | 24 | 4.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 12 | 24 | 2.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 12 | 24 | 2.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 6 | 24 | 4.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 13 | 24 | 1.85 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 6 | 24 | 4.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 6 | 24 | 4.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 6 | 24 | 4.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 6 | 24 | 4.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 6 | 24 | 4.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 6 | 24 | 4.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 13 | 24 | 1.85 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 6 | 24 | 4.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 14 | 24 | 1.71 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 6 | 24 | 4.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 13 | 24 | 1.85 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 5 | 24 | 4.80 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 6 | 24 | 4.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 6 | 24 | 4.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 12 | 24 | 2.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 13 | 24 | 1.85 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 12 | 24 | 2.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 6 | 24 | 4.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 6 | 24 | 4.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 5 | 24 | 4.80 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 6 | 24 | 4.00 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 13 | 24 | 1.85 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 6 | 24 | 4.00 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 12 | 24 | 2.00 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 13 | 24 | 1.85 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 13 | 24 | 1.85 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 16 | 24 | 1.50 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 12 | 24 | 2.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 6 | 24 | 4.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 6 | 24 | 4.00 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 12 | 24 | 2.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 6 | 24 | 4.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 13 | 24 | 1.85 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 15 | 24 | 1.60 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 6 | 24 | 4.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 13 | 24 | 1.85 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 14 | 24 | 1.71 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 16 | 24 | 1.50 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 6 | 24 | 4.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 12 | 24 | 2.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 13 | 24 | 1.85 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 13 | 24 | 1.85 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 6 | 24 | 4.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 15 | 24 | 1.60 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 15 | 24 | 1.60 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 6 | 24 | 4.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 12 | 24 | 2.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 12 | 24 | 2.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 6 | 24 | 4.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 11 | 24 | 2.18 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 6 | 24 | 4.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 8 | 24 | 3.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_3[0] | ipb_rst_BUFG | 10 | 24 | 2.40 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 7 | 24 | 3.43 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_2[0] | ipb_rst_BUFG | 9 | 24 | 2.67 | | DRPclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_init/timer_ctr | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_init/timer_ctr | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_init/timer_ctr | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_init/timer_ctr | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_init/timer_ctr | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_init/timer_ctr | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_init/timer_ctr | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_init/timer_ctr | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_init/timer_ctr | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_init/timer_ctr | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_init/timer_ctr | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_init/timer_ctr | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_init/timer_ctr | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_init/timer_ctr | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_init/timer_clr__0 | 4 | 25 | 6.25 | | i_tcds2_if/i_mgt_wrapper/i_rxusrclk/bbstub_rxoutclk_out[0] | | | 8 | 25 | 3.13 | | DRPclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_init/timer_ctr | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_init/timer_ctr | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_init/timer_ctr | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_init/timer_ctr | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_init/timer_ctr | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_init/timer_ctr | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_init/timer_ctr | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_init/timer_ctr | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_init/timer_ctr | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_init/timer_ctr | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_init/timer_ctr | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_init/timer_ctr | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_init/timer_ctr | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_init/timer_ctr | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_init/timer_ctr | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_init/timer_ctr | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_init/timer_ctr | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_init/timer_ctr | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_init/timer_clr__0 | 4 | 25 | 6.25 | | CLKFBIN | i_tcds2_if/i_mgt_wrapper/i_mgt_init/sel | i_tcds2_if/i_mgt_wrapper/i_mgt_init/timer_clr | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_init/timer_ctr | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_init/timer_ctr | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_init/timer_ctr | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_init/timer_ctr | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_init/timer_ctr | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_init/timer_ctr | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_init/timer_ctr | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_init/timer_ctr | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_init/timer_ctr | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_init/timer_ctr | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_init/timer_ctr | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_init/timer_ctr | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_init/timer_ctr | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_init/timer_ctr | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_init/timer_ctr | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_init/timer_clr__0 | 4 | 25 | 6.25 | | DRPclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_init/timer_ctr | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_init/timer_clr__0 | 4 | 25 | 6.25 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[22].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 9 | 26 | 2.89 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[33].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 14 | 26 | 1.86 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[31].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 9 | 26 | 2.89 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[23].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 7 | 26 | 3.71 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[24].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 11 | 26 | 2.36 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[25].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 7 | 26 | 3.71 | | CLKFBIN | eth/phy/U0/transceiver_inst/gig_ethernet_pcs_pma_0_gt_i/inst/gen_gtwizard_gthe3_top.gig_ethernet_pcs_pma_0_gt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | eth/phy/U0/transceiver_inst/gig_ethernet_pcs_pma_0_gt_i/inst/gen_gtwizard_gthe3_top.gig_ethernet_pcs_pma_0_gt_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | CLKFBIN | i_tcds2_if/i_mgt_wrapper/i_reset_sm/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | i_tcds2_if/i_mgt_wrapper/i_reset_sm/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | CLKFBIN | | ipb/udp_if/clock_crossing_if/rst_clk125_reg_0[0] | 6 | 26 | 4.33 | | DRPclk | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__44_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I5_out | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__43_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I5_out | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__42_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I5_out | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__41_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I5_out | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__40_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I5_out | 4 | 26 | 6.50 | | clk250 | g_clock_rate_din[15].i_rate_ngccm_status0/E[0] | | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__39_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I5_out | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__38_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I5_out | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__37_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I5_out | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__36_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I5_out | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__46_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I5_out | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__45_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I5_out | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__35_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I5_out | 4 | 26 | 6.50 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[26].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 9 | 26 | 2.89 | | DRPclk | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__32_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I3_out | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__31_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I3_out | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__30_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I3_out | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__29_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I3_out | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__28_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I3_out | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__27_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I3_out | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__26_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I3_out | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__25_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I3_out | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__24_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I3_out | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__34_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I3_out | 4 | 26 | 6.50 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[38].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 7 | 26 | 3.71 | | DRPclk | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__33_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I3_out | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__23_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I3_out | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__8_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__7_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__6_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__5_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__4_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__3_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__2_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__1_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__0_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__10_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__9_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__21_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I1_out | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__11_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I1_out | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__22_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I1_out | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__15_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I1_out | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__14_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I1_out | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__13_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I1_out | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__12_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I1_out | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__19_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I1_out | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__18_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I1_out | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__17_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I1_out | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__16_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I1_out | 4 | 26 | 6.50 | | DRPclk | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/generalRstProcess.timer[0]_i_1__20_n_0 | ctrl_regs_inst/GBTBANK_GENERAL_RESET_I1_out | 4 | 26 | 6.50 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[27].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 7 | 26 | 3.71 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[28].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 9 | 26 | 2.89 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 9 | 26 | 2.89 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[29].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 7 | 26 | 3.71 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[30].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 10 | 26 | 2.60 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[39].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 9 | 26 | 2.89 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 11 | 26 | 2.36 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[40].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 13 | 26 | 2.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[41].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 8 | 26 | 3.25 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[47].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 9 | 26 | 2.89 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[42].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 9 | 26 | 2.89 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[0].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 8 | 26 | 3.25 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[43].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 10 | 26 | 2.60 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[19].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 8 | 26 | 3.25 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[20].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 10 | 26 | 2.60 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[45].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 7 | 26 | 3.71 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 10 | 26 | 2.60 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[46].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 15 | 26 | 1.73 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 9 | 26 | 2.89 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[32].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 9 | 26 | 2.89 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 11 | 26 | 2.36 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 12 | 26 | 2.17 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 10 | 26 | 2.60 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[13].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 9 | 26 | 2.89 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 10 | 26 | 2.60 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[14].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 9 | 26 | 2.89 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 9 | 26 | 2.89 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 7 | 26 | 3.71 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[15].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 9 | 26 | 2.89 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[16].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 9 | 26 | 2.89 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[17].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 9 | 26 | 2.89 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[18].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 7 | 26 | 3.71 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[44].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 8 | 26 | 3.25 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 10 | 26 | 2.60 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[37].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 10 | 26 | 2.60 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[36].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 9 | 26 | 2.89 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[35].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 11 | 26 | 2.36 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[34].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 10 | 26 | 2.60 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | clk250 | g_clock_rate_din[10].i_rate_ngccm_status0/E[0]_repN_4 | | 4 | 26 | 6.50 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[21].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 11 | 26 | 2.36 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/LocalJTAGBridge_inst/StateReset | SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 11 | 26 | 2.36 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_ctr[0]_i_1_n_0 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst/sm_reset_rx_cdr_to_clr | 4 | 26 | 6.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[19].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 5 | 27 | 5.40 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[27].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 9 | 27 | 3.00 | | fabric_clk | | SFP_GEN[25].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 16 | 27 | 1.69 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[39].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 10 | 27 | 2.70 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[31].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 6 | 27 | 4.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[16].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 5 | 27 | 5.40 | | fabric_clk | | SFP_GEN[27].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 12 | 27 | 2.25 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[44].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 7 | 27 | 3.86 | | fabric_clk | | SFP_GEN[28].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 11 | 27 | 2.45 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[22].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 6 | 27 | 4.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[43].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 5 | 27 | 5.40 | | fabric_clk | | SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 10 | 27 | 2.70 | | fabric_clk | | SFP_GEN[29].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 14 | 27 | 1.93 | | fabric_clk | | SFP_GEN[30].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 14 | 27 | 1.93 | | fabric_clk | | SFP_GEN[31].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 14 | 27 | 1.93 | | fabric_clk | | SFP_GEN[32].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 12 | 27 | 2.25 | | fabric_clk | | SFP_GEN[33].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 13 | 27 | 2.08 | | fabric_clk | | SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 11 | 27 | 2.45 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[42].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 10 | 27 | 2.70 | | fabric_clk | | SFP_GEN[37].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 14 | 27 | 1.93 | | fabric_clk | | SFP_GEN[38].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 15 | 27 | 1.80 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[15].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 5 | 27 | 5.40 | | fabric_clk | | SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 14 | 27 | 1.93 | | fabric_clk | | SFP_GEN[39].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 12 | 27 | 2.25 | | fabric_clk | | SFP_GEN[26].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 12 | 27 | 2.25 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[26].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 4 | 27 | 6.75 | | fabric_clk | | SFP_GEN[40].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 12 | 27 | 2.25 | | fabric_clk | | SFP_GEN[41].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 13 | 27 | 2.08 | | fabric_clk | | SFP_GEN[42].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 15 | 27 | 1.80 | | fabric_clk | | SFP_GEN[43].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 18 | 27 | 1.50 | | fabric_clk | | SFP_GEN[44].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 15 | 27 | 1.80 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[2].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 9 | 27 | 3.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[14].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 4 | 27 | 6.75 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[41].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 8 | 27 | 3.38 | | fabric_clk | | SFP_GEN[45].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 16 | 27 | 1.69 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[40].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 6 | 27 | 4.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[18].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 5 | 27 | 5.40 | | fabric_clk | | SFP_GEN[47].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 12 | 27 | 2.25 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[25].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 7 | 27 | 3.86 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[24].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 5 | 27 | 5.40 | | fabric_clk | | SFP_GEN[46].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 11 | 27 | 2.45 | | fabric_clk | | SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 11 | 27 | 2.45 | | fabric_clk | | SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 14 | 27 | 1.93 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[12].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 3 | 27 | 9.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[38].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 7 | 27 | 3.86 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[37].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 5 | 27 | 5.40 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[8].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 7 | 27 | 3.86 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[3].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 12 | 27 | 2.25 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[11].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 6 | 27 | 4.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[23].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 6 | 27 | 4.50 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[13].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 4 | 27 | 6.75 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[36].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 6 | 27 | 4.50 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[47].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 9 | 27 | 3.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[35].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 11 | 27 | 2.45 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[9].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 6 | 27 | 4.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[7].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 7 | 27 | 3.86 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[17].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 4 | 27 | 6.75 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[0].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 9 | 27 | 3.00 | | fabric_clk | | SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 11 | 27 | 2.45 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[34].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 7 | 27 | 3.86 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[21].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 12 | 27 | 2.25 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[4].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 9 | 27 | 3.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[33].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 7 | 27 | 3.86 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[32].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 10 | 27 | 2.70 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[6].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 6 | 27 | 4.50 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[10].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 8 | 27 | 3.38 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[20].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 8 | 27 | 3.38 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[30].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 10 | 27 | 2.70 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[1].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 10 | 27 | 2.70 | | fabric_clk | | SFP_GEN[0].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 13 | 27 | 2.08 | | fabric_clk | | SFP_GEN[19].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 10 | 27 | 2.70 | | fabric_clk | | SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 13 | 27 | 2.08 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[29].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 12 | 27 | 2.25 | | fabric_clk | | SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 14 | 27 | 1.93 | | fabric_clk | | SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 11 | 27 | 2.45 | | fabric_clk | | SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 14 | 27 | 1.93 | | fabric_clk | | SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 13 | 27 | 2.08 | | fabric_clk | | SFP_GEN[13].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 13 | 27 | 2.08 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[46].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 9 | 27 | 3.00 | | fabric_clk | | SFP_GEN[14].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 11 | 27 | 2.45 | | fabric_clk | | SFP_GEN[15].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 11 | 27 | 2.45 | | fabric_clk | | SFP_GEN[20].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 12 | 27 | 2.25 | | fabric_clk | | SFP_GEN[16].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 14 | 27 | 1.93 | | fabric_clk | | SFP_GEN[17].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 12 | 27 | 2.25 | | fabric_clk | | SFP_GEN[18].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 14 | 27 | 1.93 | | fabric_clk | | SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 12 | 27 | 2.25 | | fabric_clk | | SFP_GEN[36].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 14 | 27 | 1.93 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[5].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 5 | 27 | 5.40 | | fabric_clk | | SFP_GEN[35].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 13 | 27 | 2.08 | | fabric_clk | | SFP_GEN[34].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 12 | 27 | 2.25 | | fabric_clk | | SFP_GEN[21].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 16 | 27 | 1.69 | | fabric_clk | | SFP_GEN[22].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 13 | 27 | 2.08 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[28].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 7 | 27 | 3.86 | | fabric_clk | | SFP_GEN[23].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 13 | 27 | 2.08 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[45].ngCCM_gbt/CrossClock_DV_cnt/DataAtoB_reg | | 6 | 27 | 4.50 | | fabric_clk | | SFP_GEN[24].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 12 | 27 | 2.25 | | tx_wordclk | TX_CLKEN_repN_59 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/gbt_txreset_s[0] | 13 | 28 | 2.15 | | CLK | ipb/trans/sm/FSM_onehot_state_reg[4]_0[0] | | 17 | 29 | 1.71 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[27].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 8 | 30 | 3.75 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[18].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 9 | 30 | 3.33 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[40].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 9 | 30 | 3.33 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 7 | 30 | 4.29 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[38].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 7 | 30 | 4.29 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[35].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 10 | 30 | 3.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[20].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 10 | 30 | 3.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[42].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 8 | 30 | 3.75 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[41].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 8 | 30 | 3.75 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 7 | 30 | 4.29 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[22].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 9 | 30 | 3.33 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[16].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 7 | 30 | 4.29 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[31].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 7 | 30 | 4.29 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 7 | 30 | 4.29 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[47].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 7 | 30 | 4.29 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[37].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 7 | 30 | 4.29 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[24].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 7 | 30 | 4.29 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[25].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 7 | 30 | 4.29 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[46].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 7 | 30 | 4.29 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[36].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 11 | 30 | 2.73 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[26].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 9 | 30 | 3.33 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 8 | 30 | 3.75 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[32].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 8 | 30 | 3.75 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 9 | 30 | 3.33 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[43].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 9 | 30 | 3.33 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[17].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 8 | 30 | 3.75 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 7 | 30 | 4.29 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[19].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 7 | 30 | 4.29 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[29].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 7 | 30 | 4.29 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[15].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 8 | 30 | 3.75 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[0].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 8 | 30 | 3.75 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 7 | 30 | 4.29 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[34].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 6 | 30 | 5.00 | | i_tcds2_if/i_mgt_wrapper/i_rxusrclk/bbstub_rxoutclk_out[0] | i_tcds2_if/cmp_lpgbtfpga_uplink/rxgearbox_10g_gen.rxGearbox_10g24_inst/reg0[31]_i_1_n_0 | i_tcds2_if/cmp_lpgbtfpga_uplink/rxgearbox_10g_gen.rxGearbox_10g24_inst/gbReset_s | 13 | 30 | 2.31 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 7 | 30 | 4.29 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[13].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 6 | 30 | 5.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[23].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 9 | 30 | 3.33 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[33].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 15 | 30 | 2.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[44].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 6 | 30 | 5.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[45].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 11 | 30 | 2.73 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[14].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 10 | 30 | 3.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 9 | 30 | 3.33 | | tx_wordclk | TX_CLKEN_repN_19 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/gbt_txreset_s[0] | 12 | 30 | 2.50 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[30].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 10 | 30 | 3.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 9 | 30 | 3.33 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 6 | 30 | 5.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 8 | 30 | 3.75 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[39].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 8 | 30 | 3.75 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[28].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 10 | 30 | 3.00 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/reg_ngccm_jtag_i | SFP_GEN[21].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 8 | 30 | 3.75 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 4 | 31 | 7.75 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 6 | 31 | 5.17 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 5 | 31 | 6.20 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 4 | 31 | 7.75 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 4 | 31 | 7.75 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 6 | 31 | 5.17 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 5 | 31 | 6.20 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 4 | 31 | 7.75 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 4 | 31 | 7.75 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 5 | 31 | 6.20 | | clk250 | g_clock_rate_din[14].i_rate_ngccm_status0/E[0] | | 3 | 31 | 10.33 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 5 | 31 | 6.20 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 4 | 31 | 7.75 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 4 | 31 | 7.75 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 5 | 31 | 6.20 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 4 | 31 | 7.75 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 4 | 31 | 7.75 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 4 | 31 | 7.75 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 4 | 31 | 7.75 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 4 | 31 | 7.75 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 5 | 31 | 6.20 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 6 | 31 | 5.17 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 5 | 31 | 6.20 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 5 | 31 | 6.20 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 5 | 31 | 6.20 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 4 | 31 | 7.75 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 4 | 31 | 7.75 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 4 | 31 | 7.75 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 4 | 31 | 7.75 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 5 | 31 | 6.20 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 6 | 31 | 5.17 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 5 | 31 | 6.20 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 5 | 31 | 6.20 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 4 | 31 | 7.75 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 5 | 31 | 6.20 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 4 | 31 | 7.75 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 4 | 31 | 7.75 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 6 | 31 | 5.17 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 5 | 31 | 6.20 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 4 | 31 | 7.75 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 5 | 31 | 6.20 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 4 | 31 | 7.75 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 5 | 31 | 6.20 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 5 | 31 | 6.20 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 4 | 31 | 7.75 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 6 | 31 | 5.17 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 4 | 31 | 7.75 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 4 | 31 | 7.75 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDIBits0 | | 6 | 31 | 5.17 | | CLK | SFP_GEN[45].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__44_n_0 | ipb_rst_BUFG | 12 | 32 | 2.67 | | CLK | SFP_GEN[20].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 8 | 32 | 4.00 | | CLK | SFP_GEN[21].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__20_n_0 | ipb_rst_BUFG | 7 | 32 | 4.57 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 3 | 32 | 10.67 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | CLK | SFP_GEN[21].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__20_n_0 | ipb_rst_BUFG | 12 | 32 | 2.67 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[33].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 6 | 32 | 5.33 | | CLK | SFP_GEN[1].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 7 | 32 | 4.57 | | CLK | SFP_GEN[21].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__20_n_0 | ipb_rst_BUFG | 4 | 32 | 8.00 | | CLK | SFP_GEN[45].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__44_n_0 | ipb_rst_BUFG | 5 | 32 | 6.40 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 2 | 32 | 16.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | CLK | ctrl_regs_inst/regs[2]_197 | ipb_rst_BUFG | 7 | 32 | 4.57 | | CLK | ctrl_regs_inst/regs[30]_183 | ipb_rst_BUFG | 12 | 32 | 2.67 | | CLK | SFP_GEN[29].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__28_n_0 | ipb_rst_BUFG | 3 | 32 | 10.67 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 2 | 32 | 16.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | CLK | ctrl_regs_inst/regs[8]_194 | ipb_rst_BUFG | 6 | 32 | 5.33 | | CLK | SFP_GEN[29].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__28_n_0 | ipb_rst_BUFG | 12 | 32 | 2.67 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[16].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 9 | 32 | 3.56 | | CLK | SFP_GEN[18].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 9 | 32 | 3.56 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 3 | 32 | 10.67 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | i_tcds2_if/fabric_clk_in | i_tcds2_if/prbs_chk_unlock_cnt/count[31]_i_1_n_0 | ctrl_regs_inst/prbschk_reset | 8 | 32 | 4.00 | | CLK | SFP_GEN[8].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__7_n_0 | ipb_rst_BUFG | 7 | 32 | 4.57 | | CLK | SFP_GEN[29].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__28_n_0 | ipb_rst_BUFG | 8 | 32 | 4.00 | | CLK | SFP_GEN[8].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__7_n_0 | ipb_rst_BUFG | 9 | 32 | 3.56 | | CLK | SFP_GEN[8].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__7_n_0 | ipb_rst_BUFG | 6 | 32 | 5.33 | | CLK | ctrl_regs_inst/regs[91]_89 | ipb_rst_BUFG | 5 | 32 | 6.40 | | CLK | ctrl_regs_inst/regs[92]_152 | ipb_rst_BUFG | 2 | 32 | 16.00 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 7 | 32 | 4.57 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 9 | 32 | 3.56 | | CLK | ipb/trans/sm/ack | | 21 | 32 | 1.52 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | CLK | SFP_GEN[11].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__10_n_0 | ipb_rst_BUFG | 4 | 32 | 8.00 | | CLK | SFP_GEN[11].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__10_n_0 | ipb_rst_BUFG | 13 | 32 | 2.46 | | i_tcds2_if/i_mgt_wrapper/i_rxusrclk/bbstub_rxoutclk_out[0] | i_tcds2_if/cmp_lpgbtfpga_uplink/rxgearbox_10g_gen.rxGearbox_10g24_inst/reg0[255]_i_1_n_0 | i_tcds2_if/cmp_lpgbtfpga_uplink/rxgearbox_10g_gen.rxGearbox_10g24_inst/gbReset_s | 13 | 32 | 2.46 | | CLK | SFP_GEN[11].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__10_n_0 | ipb_rst_BUFG | 9 | 32 | 3.56 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 3 | 32 | 10.67 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | CLK | SFP_GEN[3].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__2_n_0 | ipb_rst_BUFG | 6 | 32 | 5.33 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 7 | 32 | 4.57 | | CLK | SFP_GEN[3].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__2_n_0 | ipb_rst_BUFG | 13 | 32 | 2.46 | | CLK | SFP_GEN[3].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__2_n_0 | ipb_rst_BUFG | 7 | 32 | 4.57 | | CLK | ctrl_regs_inst/regs[31]_119 | ipb_rst_BUFG | 19 | 32 | 1.68 | | CLK | SFP_GEN[17].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 8 | 32 | 4.00 | | CLK | ctrl_regs_inst/regs[0]_198 | ipb_rst_BUFG | 12 | 32 | 2.67 | | CLK | SFP_GEN[16].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 7 | 32 | 4.57 | | CLK | ctrl_regs_inst/regs[44]_176 | ipb_rst_BUFG | 17 | 32 | 1.88 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 9 | 32 | 3.56 | | CLK | ctrl_regs_inst/regs[41]_114 | ipb_rst_BUFG | 16 | 32 | 2.00 | | i_tcds2_if/i_mgt_wrapper/i_rxusrclk/bbstub_rxoutclk_out[0] | i_tcds2_if/cmp_lpgbtfpga_uplink/rxgearbox_10g_gen.rxGearbox_10g24_inst/reg0[95]_i_1_n_0 | i_tcds2_if/cmp_lpgbtfpga_uplink/rxgearbox_10g_gen.rxGearbox_10g24_inst/gbReset_s | 12 | 32 | 2.67 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 9 | 32 | 3.56 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[36].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 6 | 32 | 5.33 | | CLK | SFP_GEN[15].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 9 | 32 | 3.56 | | CLK | ctrl_regs_inst/regs[69]_100 | ipb_rst_BUFG | 7 | 32 | 4.57 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 8 | 32 | 4.00 | | CLK | ctrl_regs_inst/regs[70]_163 | ipb_rst_BUFG | 8 | 32 | 4.00 | | CLK | SFP_GEN[47].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 7 | 32 | 4.57 | | CLK | ctrl_regs_inst/regs[21]_124 | ipb_rst_BUFG | 27 | 32 | 1.19 | | CLK | ctrl_regs_inst/regs[33]_118 | ipb_rst_BUFG | 18 | 32 | 1.78 | | i_tcds2_if/i_mgt_wrapper/i_rxusrclk/bbstub_rxoutclk_out[0] | i_tcds2_if/cmp_lpgbtfpga_uplink/rxgearbox_10g_gen.rxGearbox_10g24_inst/reg0[191]_i_1_n_0 | i_tcds2_if/cmp_lpgbtfpga_uplink/rxgearbox_10g_gen.rxGearbox_10g24_inst/gbReset_s | 13 | 32 | 2.46 | | CLK | SFP_GEN[14].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 8 | 32 | 4.00 | | CLK | ipb/trans/sm/rmw_write | | 23 | 32 | 1.39 | | CLK | SFP_GEN[0].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 8 | 32 | 4.00 | | i_tcds2_if/i_mgt_wrapper/i_rxusrclk/bbstub_rxoutclk_out[0] | i_tcds2_if/cmp_lpgbtfpga_uplink/rxgearbox_10g_gen.rxGearbox_10g24_inst/reg0[127]_i_1_n_0 | i_tcds2_if/cmp_lpgbtfpga_uplink/rxgearbox_10g_gen.rxGearbox_10g24_inst/gbReset_s | 9 | 32 | 3.56 | | i_tcds2_if/i_mgt_wrapper/i_rxusrclk/bbstub_rxoutclk_out[0] | i_tcds2_if/cmp_lpgbtfpga_uplink/rxgearbox_10g_gen.rxGearbox_10g24_inst/reg0[63]_i_1_n_0 | i_tcds2_if/cmp_lpgbtfpga_uplink/rxgearbox_10g_gen.rxGearbox_10g24_inst/gbReset_s | 15 | 32 | 2.13 | | CLK | ctrl_regs_inst/regs[34]_181 | ipb_rst_BUFG | 22 | 32 | 1.45 | | CLK | SFP_GEN[13].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 10 | 32 | 3.20 | | i_tcds2_if/i_mgt_wrapper/i_rxusrclk/bbstub_rxoutclk_out[0] | i_tcds2_if/cmp_lpgbtfpga_uplink/rxgearbox_10g_gen.rxGearbox_10g24_inst/reg0[223]_i_1_n_0 | i_tcds2_if/cmp_lpgbtfpga_uplink/rxgearbox_10g_gen.rxGearbox_10g24_inst/gbReset_s | 13 | 32 | 2.46 | | i_tcds2_if/i_mgt_wrapper/i_rxusrclk/bbstub_rxoutclk_out[0] | i_tcds2_if/cmp_lpgbtfpga_uplink/rxgearbox_10g_gen.rxGearbox_10g24_inst/reg0[159]_i_1_n_0 | i_tcds2_if/cmp_lpgbtfpga_uplink/rxgearbox_10g_gen.rxGearbox_10g24_inst/gbReset_s | 13 | 32 | 2.46 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 8 | 32 | 4.00 | | CLK | SFP_GEN[12].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 8 | 32 | 4.00 | | CLK | ctrl_regs_inst/regs[29]_120 | ipb_rst_BUFG | 10 | 32 | 3.20 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[20].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 8 | 32 | 4.00 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[37].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 7 | 32 | 4.57 | | CLK | SFP_GEN[24].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__23_n_0 | ipb_rst_BUFG | 10 | 32 | 3.20 | | CLK | ctrl_regs_inst/regs[126]_135 | ipb_rst_BUFG | 3 | 32 | 10.67 | | CLK | SFP_GEN[11].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 32 | 5.33 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[46].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 7 | 32 | 4.57 | | CLK | SFP_GEN[24].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__23_n_0 | ipb_rst_BUFG | 12 | 32 | 2.67 | | CLK | SFP_GEN[24].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__23_n_0 | ipb_rst_BUFG | 8 | 32 | 4.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 7 | 32 | 4.57 | | CLK | rst | rst_dbl0 | 4 | 32 | 8.00 | | CLK | ctrl_regs_inst/regs[15]_127 | ipb_rst_BUFG | 3 | 32 | 10.67 | | CLK | ctrl_regs_inst/regs[23]_123 | ipb_rst_BUFG | 12 | 32 | 2.67 | | CLK | ctrl_regs_inst/regs[71]_99 | ipb_rst_BUFG | 8 | 32 | 4.00 | | CLK | SFP_GEN[17].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__16_n_0 | ipb_rst_BUFG | 9 | 32 | 3.56 | | CLK | ctrl_regs_inst/regs[51]_109 | ipb_rst_BUFG | 20 | 32 | 1.60 | | CLK | ctrl_regs_inst/regs[106]_145 | ipb_rst_BUFG | 4 | 32 | 8.00 | | CLK | SFP_GEN[17].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__16_n_0 | ipb_rst_BUFG | 14 | 32 | 2.29 | | CLK | SFP_GEN[47].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__46_n_0 | ipb_rst_BUFG | 6 | 32 | 5.33 | | CLK | SFP_GEN[47].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__46_n_0 | ipb_rst_BUFG | 13 | 32 | 2.46 | | CLK | SFP_GEN[47].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__46_n_0 | ipb_rst_BUFG | 9 | 32 | 3.56 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 8 | 32 | 4.00 | | CLK | ctrl_regs_inst/regs[56]_170 | ipb_rst_BUFG | 18 | 32 | 1.78 | | CLK | SFP_GEN[2].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__1_n_0 | ipb_rst_BUFG | 5 | 32 | 6.40 | | CLK | ctrl_regs_inst/regs[57]_106 | ipb_rst_BUFG | 13 | 32 | 2.46 | | CLK | ctrl_regs_inst/regs[85]_92 | ipb_rst_BUFG | 4 | 32 | 8.00 | | CLK | ctrl_regs_inst/regs[88]_154 | ipb_rst_BUFG | 3 | 32 | 10.67 | | CLK | SFP_GEN[2].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__1_n_0 | ipb_rst_BUFG | 15 | 32 | 2.13 | | CLK | SFP_GEN[34].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 8 | 32 | 4.00 | | CLK | ctrl_regs_inst/regs[93]_88 | ipb_rst_BUFG | 2 | 32 | 16.00 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 9 | 32 | 3.56 | | CLK | SFP_GEN[2].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__1_n_0 | ipb_rst_BUFG | 10 | 32 | 3.20 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[24].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 8 | 32 | 4.00 | | CLK | ctrl_regs_inst/regs[90]_153 | ipb_rst_BUFG | 6 | 32 | 5.33 | | CLK | SFP_GEN[46].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__45_n_0 | ipb_rst_BUFG | 7 | 32 | 4.57 | | CLK | ctrl_regs_inst/regs[80]_158 | ipb_rst_BUFG | 3 | 32 | 10.67 | | CLK | SFP_GEN[46].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__45_n_0 | ipb_rst_BUFG | 12 | 32 | 2.67 | | CLK | SFP_GEN[46].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__45_n_0 | ipb_rst_BUFG | 6 | 32 | 5.33 | | CLK | ctrl_regs_inst/regs[7]_131 | ipb_rst_BUFG | 8 | 32 | 4.00 | | CLK | SFP_GEN[17].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__16_n_0 | ipb_rst_BUFG | 4 | 32 | 8.00 | | CLK | ctrl_regs_inst/regs[81]_94 | ipb_rst_BUFG | 6 | 32 | 5.33 | | CLK | SFP_GEN[8].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 32 | 5.33 | | clk250 | g_clock_rate_din[47].i_rate_ngccm_status0/q0 | | 5 | 32 | 6.40 | | CLK | SFP_GEN[7].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 7 | 32 | 4.57 | | clk250 | g_clock_rate_din[0].i_rate_ngccm_status0/q0 | | 6 | 32 | 5.33 | | CLK | SFP_GEN[6].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 9 | 32 | 3.56 | | clk250 | g_clock_rate_din[9].i_rate_ngccm_status0/q0 | | 7 | 32 | 4.57 | | clk250 | g_clock_rate_din[10].i_rate_ngccm_status0/q0 | | 6 | 32 | 5.33 | | clk250 | g_clock_rate_din[11].i_rate_ngccm_status0/q0 | | 8 | 32 | 4.00 | | CLK | ctrl_regs_inst/regs[38]_179 | ipb_rst_BUFG | 4 | 32 | 8.00 | | CLK | SFP_GEN[5].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 8 | 32 | 4.00 | | clk250 | g_clock_rate_din[13].i_rate_ngccm_status0/q0 | | 7 | 32 | 4.57 | | clk250 | g_clock_rate_din[14].i_rate_ngccm_status0/q0 | | 6 | 32 | 5.33 | | clk250 | g_clock_rate_din[15].i_rate_ngccm_status0/q0 | | 7 | 32 | 4.57 | | CLK | ctrl_regs_inst/regs[73]_98 | ipb_rst_BUFG | 3 | 32 | 10.67 | | clk250 | g_clock_rate_din[16].i_rate_ngccm_status0/q0 | | 5 | 32 | 6.40 | | clk250 | g_clock_rate_din[17].i_rate_ngccm_status0/q0 | | 5 | 32 | 6.40 | | CLK | SFP_GEN[22].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__21_n_0 | ipb_rst_BUFG | 10 | 32 | 3.20 | | clk250 | g_clock_rate_din[18].i_rate_ngccm_status0/q0 | | 7 | 32 | 4.57 | | CLK | SFP_GEN[4].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 7 | 32 | 4.57 | | clk250 | g_clock_rate_din[1].i_rate_ngccm_status0/q0 | | 7 | 32 | 4.57 | | CLK | SFP_GEN[46].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 7 | 32 | 4.57 | | clk250 | g_clock_rate_din[19].i_rate_ngccm_status0/q0 | | 6 | 32 | 5.33 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 8 | 32 | 4.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 5 | 32 | 6.40 | | CLK | SFP_GEN[22].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__21_n_0 | ipb_rst_BUFG | 14 | 32 | 2.29 | | clk250 | g_clock_rate_din[44].i_rate_ngccm_status0/q0 | | 8 | 32 | 4.00 | | clk250 | g_clock_rate_din[45].i_rate_ngccm_status0/q0 | | 8 | 32 | 4.00 | | clk250 | g_clock_rate_din[46].i_rate_ngccm_status0/q0 | | 9 | 32 | 3.56 | | CLK | SFP_GEN[18].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__17_n_0 | ipb_rst_BUFG | 6 | 32 | 5.33 | | clk250 | g_clock_rate_din[4].i_rate_ngccm_status0/q0 | | 5 | 32 | 6.40 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[38].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 8 | 32 | 4.00 | | clk250 | g_clock_rate_din[20].i_rate_ngccm_status0/q0 | | 5 | 32 | 6.40 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[26].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 8 | 32 | 4.00 | | CLK | SFP_GEN[10].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__9_n_0 | ipb_rst_BUFG | 5 | 32 | 6.40 | | CLK | SFP_GEN[10].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__9_n_0 | ipb_rst_BUFG | 12 | 32 | 2.67 | | clk250 | g_clock_rate_din[21].i_rate_ngccm_status0/q0 | | 4 | 32 | 8.00 | | clk250 | g_clock_rate_din[22].i_rate_ngccm_status0/q0 | | 5 | 32 | 6.40 | | CLK | SFP_GEN[10].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__9_n_0 | ipb_rst_BUFG | 9 | 32 | 3.56 | | CLK | SFP_GEN[45].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 32 | 5.33 | | clk250 | g_clock_rate_din[23].i_rate_ngccm_status0/q0 | | 5 | 32 | 6.40 | | clk250 | g_clock_rate_din[24].i_rate_ngccm_status0/q0 | | 5 | 32 | 6.40 | | CLK | SFP_GEN[44].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 32 | 5.33 | | clk250 | g_clock_rate_din[25].i_rate_ngccm_status0/q0 | | 7 | 32 | 4.57 | | CLK | ctrl_regs_inst/regs[112]_142 | ipb_rst_BUFG | 4 | 32 | 8.00 | | clk250 | g_clock_rate_din[26].i_rate_ngccm_status0/q0 | | 7 | 32 | 4.57 | | CLK | SFP_GEN[43].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 9 | 32 | 3.56 | | clk250 | g_clock_rate_din[27].i_rate_ngccm_status0/q0 | | 5 | 32 | 6.40 | | CLK | SFP_GEN[42].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 9 | 32 | 3.56 | | clk250 | g_clock_rate_din[28].i_rate_ngccm_status0/q0 | | 5 | 32 | 6.40 | | CLK | SFP_GEN[41].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 5 | 32 | 6.40 | | clk250 | g_clock_rate_din[2].i_rate_ngccm_status0/q0 | | 8 | 32 | 4.00 | | CLK | SFP_GEN[40].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 8 | 32 | 4.00 | | clk250 | g_clock_rate_din[29].i_rate_ngccm_status0/q0 | | 5 | 32 | 6.40 | | CLK | SFP_GEN[39].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 8 | 32 | 4.00 | | clk250 | g_clock_rate_din[30].i_rate_ngccm_status0/q0 | | 9 | 32 | 3.56 | | CLK | ctrl_regs_inst/regs[48]_174 | ipb_rst_BUFG | 15 | 32 | 2.13 | | CLK | SFP_GEN[3].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 32 | 5.33 | | clk250 | g_clock_rate_din[31].i_rate_ngccm_status0/q0 | | 5 | 32 | 6.40 | | clk250 | g_clock_rate_din[38].i_rate_ngccm_status0/q0 | | 6 | 32 | 5.33 | | clk250 | g_clock_rate_din[32].i_rate_ngccm_status0/q0 | | 5 | 32 | 6.40 | | CLK | SFP_GEN[38].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 7 | 32 | 4.57 | | clk250 | g_clock_rate_din[33].i_rate_ngccm_status0/q0 | | 11 | 32 | 2.91 | | CLK | SFP_GEN[33].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 9 | 32 | 3.56 | | clk250 | g_clock_rate_din[34].i_rate_ngccm_status0/q0 | | 8 | 32 | 4.00 | | CLK | SFP_GEN[32].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 9 | 32 | 3.56 | | CLK | ctrl_regs_inst/regs[121]_74 | ipb_rst_BUFG | 3 | 32 | 10.67 | | clk250 | g_clock_rate_din[35].i_rate_ngccm_status0/q0 | | 11 | 32 | 2.91 | | clk250 | g_clock_rate_din[36].i_rate_ngccm_status0/q0 | | 8 | 32 | 4.00 | | CLK | SFP_GEN[22].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__21_n_0 | ipb_rst_BUFG | 5 | 32 | 6.40 | | clk250 | g_clock_rate_din[37].i_rate_ngccm_status0/q0 | | 5 | 32 | 6.40 | | CLK | ctrl_regs_inst/regs[4]_196 | ipb_rst_BUFG | 9 | 32 | 3.56 | | clk250 | g_clock_rate_din[3].i_rate_ngccm_status0/q0 | | 9 | 32 | 3.56 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 12 | 32 | 2.67 | | clk250 | g_clock_rate_din[39].i_rate_ngccm_status0/q0 | | 13 | 32 | 2.46 | | clk250 | g_clock_rate_din[40].i_rate_ngccm_status0/q0 | | 8 | 32 | 4.00 | | clk250 | g_clock_rate_din[41].i_rate_ngccm_status0/q0 | | 7 | 32 | 4.57 | | CLK | SFP_GEN[31].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 9 | 32 | 3.56 | | clk250 | g_clock_rate_din[42].i_rate_ngccm_status0/q0 | | 5 | 32 | 6.40 | | CLK | SFP_GEN[28].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__27_n_0 | ipb_rst_BUFG | 6 | 32 | 5.33 | | clk250 | g_clock_rate_din[43].i_rate_ngccm_status0/q0 | | 6 | 32 | 5.33 | | CLK | SFP_GEN[28].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__27_n_0 | ipb_rst_BUFG | 13 | 32 | 2.46 | | CLK | SFP_GEN[30].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 11 | 32 | 2.91 | | clk250 | g_clock_rate_din[5].i_rate_ngccm_status0/q0 | | 7 | 32 | 4.57 | | CLK | SFP_GEN[28].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__27_n_0 | ipb_rst_BUFG | 9 | 32 | 3.56 | | clk250 | g_clock_rate_din[6].i_rate_ngccm_status0/q0 | | 7 | 32 | 4.57 | | clk250 | g_clock_rate_din[7].i_rate_ngccm_status0/q0 | | 5 | 32 | 6.40 | | CLK | SFP_GEN[19].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 9 | 32 | 3.56 | | clk250 | g_clock_rate_din[8].i_rate_ngccm_status0/q0 | | 14 | 32 | 2.29 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 7 | 32 | 4.57 | | CLK | SFP_GEN[10].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 8 | 32 | 4.00 | | CLK | ctrl_regs_inst/regs[65]_102 | ipb_rst_BUFG | 12 | 32 | 2.67 | | CLK | ctrl_regs_inst/regs[53]_108 | ipb_rst_BUFG | 16 | 32 | 2.00 | | CLKFBIN | eth/mac/i_mac/ce_tx_crc_reg_n_0 | eth/mac/i_mac/init | 7 | 32 | 4.57 | | CLK | ctrl_regs_inst/regs[59]_105 | ipb_rst_BUFG | 13 | 32 | 2.46 | | CLKFBIN | eth/mac/i_mac/ce | eth/mac/i_mac/ce | 9 | 32 | 3.56 | | CLK | ctrl_regs_inst/regs[68]_164 | ipb_rst_BUFG | 7 | 32 | 4.57 | | CLK | ctrl_regs_inst/regs[72]_162 | ipb_rst_BUFG | 3 | 32 | 10.67 | | CLK | SFP_GEN[14].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__13_n_0 | ipb_rst_BUFG | 7 | 32 | 4.57 | | clk250 | g_clock_rate_din[12].i_rate_ngccm_status0/q0 | | 5 | 32 | 6.40 | | CLK | SFP_GEN[14].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__13_n_0 | ipb_rst_BUFG | 10 | 32 | 3.20 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 9 | 32 | 3.56 | | CLKFBIN | eth/mac/i_mac/E[0] | ipb/udp_if/rx_reset_block/rarp.pkt_drop_reg[0] | 5 | 32 | 6.40 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 7 | 32 | 4.57 | | CLK | ctrl_regs_inst/regs[20]_188 | ipb_rst_BUFG | 20 | 32 | 1.60 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 6 | 32 | 5.33 | | CLK | ctrl_regs_inst/regs[123]_73 | ipb_rst_BUFG | 6 | 32 | 5.33 | | CLK | SFP_GEN[44].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__43_n_0 | ipb_rst_BUFG | 12 | 32 | 2.67 | | CLK | ctrl_regs_inst/regs[43]_113 | ipb_rst_BUFG | 11 | 32 | 2.91 | | CLK | SFP_GEN[4].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__3_n_0 | ipb_rst_BUFG | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 3 | 32 | 10.67 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 8 | 32 | 4.00 | | CLK | SFP_GEN[38].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__37_n_0 | ipb_rst_BUFG | 3 | 32 | 10.67 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 7 | 32 | 4.57 | | CLK | SFP_GEN[38].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__37_n_0 | ipb_rst_BUFG | 13 | 32 | 2.46 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 8 | 32 | 4.00 | | CLK | ctrl_regs_inst/regs[75]_97 | ipb_rst_BUFG | 2 | 32 | 16.00 | | CLK | SFP_GEN[4].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__3_n_0 | ipb_rst_BUFG | 11 | 32 | 2.91 | | CLK | SFP_GEN[41].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__40_n_0 | ipb_rst_BUFG | 10 | 32 | 3.20 | | CLK | SFP_GEN[41].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__40_n_0 | ipb_rst_BUFG | 10 | 32 | 3.20 | | CLK | ctrl_regs_inst/regs[60]_168 | ipb_rst_BUFG | 17 | 32 | 1.88 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[31].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 9 | 32 | 3.56 | | CLK | SFP_GEN[41].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__40_n_0 | ipb_rst_BUFG | 3 | 32 | 10.67 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | CLK | SFP_GEN[38].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__37_n_0 | ipb_rst_BUFG | 10 | 32 | 3.20 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 6 | 32 | 5.33 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 5 | 32 | 6.40 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | CLK | SFP_GEN[44].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__43_n_0 | ipb_rst_BUFG | 7 | 32 | 4.57 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[39].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 11 | 32 | 2.91 | | CLK | SFP_GEN[4].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__3_n_0 | ipb_rst_BUFG | 7 | 32 | 4.57 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 10 | 32 | 3.20 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 4 | 32 | 8.00 | | CLK | SFP_GEN[31].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__30_n_0 | ipb_rst_BUFG | 5 | 32 | 6.40 | | CLK | SFP_GEN[31].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__30_n_0 | ipb_rst_BUFG | 13 | 32 | 2.46 | | CLK | ctrl_regs_inst/regs[24]_186 | ipb_rst_BUFG | 20 | 32 | 1.60 | | CLK | SFP_GEN[6].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__5_n_0 | ipb_rst_BUFG | 7 | 32 | 4.57 | | CLK | SFP_GEN[31].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__30_n_0 | ipb_rst_BUFG | 9 | 32 | 3.56 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 3 | 32 | 10.67 | | CLK | SFP_GEN[6].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__5_n_0 | ipb_rst_BUFG | 10 | 32 | 3.20 | | CLK | SFP_GEN[6].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__5_n_0 | ipb_rst_BUFG | 8 | 32 | 4.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | CLK | ctrl_regs_inst/regs[45]_112 | ipb_rst_BUFG | 16 | 32 | 2.00 | | CLK | ctrl_regs_inst/regs[66]_165 | ipb_rst_BUFG | 18 | 32 | 1.78 | | CLK | SFP_GEN[22].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 8 | 32 | 4.00 | | CLK | SFP_GEN[37].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__36_n_0 | ipb_rst_BUFG | 7 | 32 | 4.57 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 7 | 32 | 4.57 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 3 | 32 | 10.67 | | CLK | SFP_GEN[37].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__36_n_0 | ipb_rst_BUFG | 11 | 32 | 2.91 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | CLK | SFP_GEN[37].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__36_n_0 | ipb_rst_BUFG | 7 | 32 | 4.57 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | CLK | SFP_GEN[40].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__39_n_0 | ipb_rst_BUFG | 5 | 32 | 6.40 | | CLK | SFP_GEN[40].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__39_n_0 | ipb_rst_BUFG | 11 | 32 | 2.91 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[30].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 6 | 32 | 5.33 | | CLK | SFP_GEN[40].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__39_n_0 | ipb_rst_BUFG | 9 | 32 | 3.56 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[14].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 6 | 32 | 5.33 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 8 | 32 | 4.00 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[45].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 8 | 32 | 4.00 | | CLK | ctrl_regs_inst/regs[79]_95 | ipb_rst_BUFG | 5 | 32 | 6.40 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 6 | 32 | 5.33 | | CLK | SFP_GEN[30].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__29_n_0 | ipb_rst_BUFG | 6 | 32 | 5.33 | | CLK | SFP_GEN[30].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__29_n_0 | ipb_rst_BUFG | 11 | 32 | 2.91 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 3 | 32 | 10.67 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[0].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 7 | 32 | 4.57 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | CLK | ctrl_regs_inst/regs[83]_93 | ipb_rst_BUFG | 4 | 32 | 8.00 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[40].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 8 | 32 | 4.00 | | CLK | ctrl_regs_inst/regs[113]_78 | ipb_rst_BUFG | 3 | 32 | 10.67 | | CLK | SFP_GEN[30].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__29_n_0 | ipb_rst_BUFG | 7 | 32 | 4.57 | | CLK | SFP_GEN[37].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 32 | 5.33 | | CLK | ctrl_regs_inst/regs[100]_148 | ipb_rst_BUFG | 3 | 32 | 10.67 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 7 | 32 | 4.57 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | CLK | SFP_GEN[42].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__41_n_0 | ipb_rst_BUFG | 9 | 32 | 3.56 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | CLK | SFP_GEN[42].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__41_n_0 | ipb_rst_BUFG | 12 | 32 | 2.67 | | CLK | ctrl_regs_inst/regs[39]_115 | ipb_rst_BUFG | 5 | 32 | 6.40 | | CLK | SFP_GEN[7].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__6_n_0 | ipb_rst_BUFG | 7 | 32 | 4.57 | | CLK | ctrl_regs_inst/regs[32]_182 | ipb_rst_BUFG | 15 | 32 | 2.13 | | CLK | SFP_GEN[42].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__41_n_0 | ipb_rst_BUFG | 5 | 32 | 6.40 | | CLKFBIN | ipb/udp_if/payload/do_ipbus_hdr.ipbus_hdr_int_reg0 | ipb/udp_if/rx_reset_block/SR[0] | 8 | 32 | 4.00 | | CLK | ctrl_regs_inst/regs[9]_130 | ipb_rst_BUFG | 17 | 32 | 1.88 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 8 | 32 | 4.00 | | CLK | SFP_GEN[36].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__35_n_0 | ipb_rst_BUFG | 5 | 32 | 6.40 | | CLK | SFP_GEN[36].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__35_n_0 | ipb_rst_BUFG | 13 | 32 | 2.46 | | CLKFBIN | ipb/udp_if/IPADDR/IP_addr_rx_vld | rst_clk125 | 9 | 32 | 3.56 | | CLK | SFP_GEN[1].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__0_n_0 | ipb_rst_BUFG | 8 | 32 | 4.00 | | CLK | ctrl_regs_inst/regs[35]_117 | ipb_rst_BUFG | 11 | 32 | 2.91 | | CLK | SFP_GEN[36].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__35_n_0 | ipb_rst_BUFG | 12 | 32 | 2.67 | | CLK | SFP_GEN[14].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__13_n_0 | ipb_rst_BUFG | 15 | 32 | 2.13 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[18].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 9 | 32 | 3.56 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 9 | 32 | 3.56 | | CLK | SFP_GEN[7].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__6_n_0 | ipb_rst_BUFG | 12 | 32 | 2.67 | | CLK | SFP_GEN[7].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__6_n_0 | ipb_rst_BUFG | 5 | 32 | 6.40 | | CLK | SFP_GEN[1].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__0_n_0 | ipb_rst_BUFG | 14 | 32 | 2.29 | | CLK | SFP_GEN[1].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__0_n_0 | ipb_rst_BUFG | 5 | 32 | 6.40 | | CLK | SFP_GEN[29].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 7 | 32 | 4.57 | | CLK | SFP_GEN[12].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__11_n_0 | ipb_rst_BUFG | 5 | 32 | 6.40 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 6 | 32 | 5.33 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[41].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 10 | 32 | 3.20 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 5 | 32 | 6.40 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[29].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 5 | 32 | 6.40 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 6 | 32 | 5.33 | | CLK | i_I2C_if/I2C_array[0].buffer_ngccm/ngccm_state_o_reg[0]_inv | ipb_rst_BUFG | 8 | 32 | 4.00 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 7 | 32 | 4.57 | | CLK | SFP_GEN[12].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__11_n_0 | ipb_rst_BUFG | 10 | 32 | 3.20 | | CLK | ctrl_regs_inst/regs[36]_180 | ipb_rst_BUFG | 9 | 32 | 3.56 | | CLK | i_I2C_if/I2C_array[1].buffer_ngccm/ngccm_state_o_reg[0]_inv | ipb_rst_BUFG | 10 | 32 | 3.20 | | CLK | ctrl_regs_inst/regs[37]_116 | ipb_rst_BUFG | 11 | 32 | 2.91 | | CLK | SFP_GEN[12].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__11_n_0 | ipb_rst_BUFG | 9 | 32 | 3.56 | | CLK | ctrl_regs_inst/regs[94]_151 | ipb_rst_BUFG | 2 | 32 | 16.00 | | CLK | SFP_GEN[16].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__15_n_0 | ipb_rst_BUFG | 5 | 32 | 6.40 | | CLK | SFP_GEN[21].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 7 | 32 | 4.57 | | CLK | SFP_GEN[16].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__15_n_0 | ipb_rst_BUFG | 13 | 32 | 2.46 | | CLK | SFP_GEN[16].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__15_n_0 | ipb_rst_BUFG | 6 | 32 | 5.33 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 7 | 32 | 4.57 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[47].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 8 | 32 | 4.00 | | CLK | ctrl_regs_inst/regs[119]_75 | ipb_rst_BUFG | 4 | 32 | 8.00 | | CLK | i_I2C_if/I2C_array[2].buffer_ngccm/ngccm_state_o_reg[0]_inv | ipb_rst_BUFG | 9 | 32 | 3.56 | | CLK | i_I2C_if/I2C_array[3].buffer_ngccm/ngccm_state_o_reg[0]_inv | ipb_rst_BUFG | 9 | 32 | 3.56 | | CLK | i_I2C_if/I2C_array[4].buffer_ngccm/ngccm_state_o_reg[0]_inv | ipb_rst_BUFG | 12 | 32 | 2.67 | | CLK | ctrl_regs_inst/regs[97]_86 | ipb_rst_BUFG | 5 | 32 | 6.40 | | CLK | SFP_GEN[25].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__24_n_0 | ipb_rst_BUFG | 6 | 32 | 5.33 | | CLK | i_I2C_if/I2C_array[5].buffer_ngccm/ngccm_state_o_reg[0]_inv | ipb_rst_BUFG | 9 | 32 | 3.56 | | CLK | i_I2C_if/I2C_array[6].buffer_ngccm/ngccm_state_o_reg[0]_inv | ipb_rst_BUFG | 10 | 32 | 3.20 | | CLK | SFP_GEN[18].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__17_n_0 | ipb_rst_BUFG | 9 | 32 | 3.56 | | CLK | SFP_GEN[18].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__17_n_0 | ipb_rst_BUFG | 12 | 32 | 2.67 | | CLK | ctrl_regs_inst/regs[120]_138 | ipb_rst_BUFG | 4 | 32 | 8.00 | | CLK | i_I2C_if/I2C_array[7].buffer_ngccm/ngccm_state_o_reg[0]_inv | ipb_rst_BUFG | 9 | 32 | 3.56 | | CLK | SFP_GEN[35].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__34_n_0 | ipb_rst_BUFG | 5 | 32 | 6.40 | | CLK | SFP_GEN[35].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__34_n_0 | ipb_rst_BUFG | 11 | 32 | 2.91 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 8 | 32 | 4.00 | | CLK | SFP_GEN[25].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__24_n_0 | ipb_rst_BUFG | 14 | 32 | 2.29 | | CLK | ctrl_regs_inst/regs[13]_128 | ipb_rst_BUFG | 3 | 32 | 10.67 | | CLK | SFP_GEN[35].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__34_n_0 | ipb_rst_BUFG | 6 | 32 | 5.33 | | CLK | ctrl_regs_inst/regs[11]_129 | ipb_rst_BUFG | 4 | 32 | 8.00 | | CLK | i_I2C_if/I2C_array[8].buffer_ngccm/ngccm_state_o_reg[0]_inv | ipb_rst_BUFG | 11 | 32 | 2.91 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[32].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 7 | 32 | 4.57 | | CLK | ctrl_regs_inst/regs[86]_155 | ipb_rst_BUFG | 6 | 32 | 5.33 | | CLK | ctrl_regs_inst/regs[96]_150 | ipb_rst_BUFG | 4 | 32 | 8.00 | | CLK | SFP_GEN[27].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__26_n_0 | ipb_rst_BUFG | 5 | 32 | 6.40 | | CLK | ctrl_regs_inst/regs[107]_81 | ipb_rst_BUFG | 4 | 32 | 8.00 | | CLK | SFP_GEN[25].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__24_n_0 | ipb_rst_BUFG | 12 | 32 | 2.67 | | CLK | SFP_GEN[5].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__4_n_0 | ipb_rst_BUFG | 12 | 32 | 2.67 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[21].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 8 | 32 | 4.00 | | CLK | SFP_GEN[5].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__4_n_0 | ipb_rst_BUFG | 7 | 32 | 4.57 | | CLK | SFP_GEN[5].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__4_n_0 | ipb_rst_BUFG | 5 | 32 | 6.40 | | CLK | SFP_GEN[27].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__26_n_0 | ipb_rst_BUFG | 13 | 32 | 2.46 | | CLK | ctrl_regs_inst/regs[109]_80 | ipb_rst_BUFG | 3 | 32 | 10.67 | | CLK | SFP_GEN[34].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__33_n_0 | ipb_rst_BUFG | 5 | 32 | 6.40 | | CLK | ctrl_regs_inst/regs[110]_143 | ipb_rst_BUFG | 2 | 32 | 16.00 | | CLK | ctrl_regs_inst/regs[87]_91 | ipb_rst_BUFG | 5 | 32 | 6.40 | | CLK | SFP_GEN[2].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 7 | 32 | 4.57 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 6 | 32 | 5.33 | | CLK | ctrl_regs_inst/regs[124]_136 | ipb_rst_BUFG | 2 | 32 | 16.00 | | CLK | ctrl_regs_inst/regs[25]_122 | ipb_rst_BUFG | 20 | 32 | 1.60 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 9 | 32 | 3.56 | | CLK | SFP_GEN[34].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__33_n_0 | ipb_rst_BUFG | 11 | 32 | 2.91 | | CLK | ctrl_regs_inst/regs[98]_149 | ipb_rst_BUFG | 3 | 32 | 10.67 | | CLK | SFP_GEN[26].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__25_n_0 | ipb_rst_BUFG | 7 | 32 | 4.57 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 3 | 32 | 10.67 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | CLK | SFP_GEN[26].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__25_n_0 | ipb_rst_BUFG | 10 | 32 | 3.20 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[35].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 7 | 32 | 4.57 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 2 | 32 | 16.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | CLK | SFP_GEN[34].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__33_n_0 | ipb_rst_BUFG | 8 | 32 | 4.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | CLK | ctrl_regs_inst/regs[26]_185 | ipb_rst_BUFG | 16 | 32 | 2.00 | | CLK | ctrl_regs_inst/regs[116]_140 | ipb_rst_BUFG | 7 | 32 | 4.57 | | CLK | ctrl_regs_inst/regs[102]_147 | ipb_rst_BUFG | 5 | 32 | 6.40 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 3 | 32 | 10.67 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 9 | 32 | 3.56 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 2 | 32 | 16.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | CLK | ctrl_regs_inst/regs[122]_137 | ipb_rst_BUFG | 4 | 32 | 8.00 | | CLK | SFP_GEN[28].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 9 | 32 | 3.56 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 6 | 32 | 5.33 | | CLK | ctrl_regs_inst/regs[78]_159 | ipb_rst_BUFG | 3 | 32 | 10.67 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 3 | 32 | 10.67 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 2 | 32 | 16.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[42].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 8 | 32 | 4.00 | | CLK | ctrl_regs_inst/regs[49]_110 | ipb_rst_BUFG | 17 | 32 | 1.88 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | CLK | SFP_GEN[27].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__26_n_0 | ipb_rst_BUFG | 10 | 32 | 3.20 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 9 | 32 | 3.56 | | CLK | ctrl_regs_inst/regs[105]_82 | ipb_rst_BUFG | 6 | 32 | 5.33 | | CLK | SFP_GEN[9].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__8_n_0 | ipb_rst_BUFG | 5 | 32 | 6.40 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 7 | 32 | 4.57 | | CLK | SFP_GEN[9].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__8_n_0 | ipb_rst_BUFG | 13 | 32 | 2.46 | | CLK | SFP_GEN[9].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__8_n_0 | ipb_rst_BUFG | 9 | 32 | 3.56 | | CLK | ctrl_regs_inst/regs[64]_166 | ipb_rst_BUFG | 15 | 32 | 2.13 | | CLK | ctrl_regs_inst/regs[76]_160 | ipb_rst_BUFG | 4 | 32 | 8.00 | | CLK | ctrl_regs_inst/regs[95]_87 | ipb_rst_BUFG | 3 | 32 | 10.67 | | CLK | SFP_GEN[43].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__42_n_0 | ipb_rst_BUFG | 7 | 32 | 4.57 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 4 | 32 | 8.00 | | CLK | ctrl_regs_inst/regs[82]_157 | ipb_rst_BUFG | 8 | 32 | 4.00 | | CLK | ctrl_regs_inst/regs[84]_156 | ipb_rst_BUFG | 4 | 32 | 8.00 | | CLK | ctrl_regs_inst/regs[77]_96 | ipb_rst_BUFG | 2 | 32 | 16.00 | | CLK | ctrl_regs_inst/regs[46]_175 | ipb_rst_BUFG | 6 | 32 | 5.33 | | CLK | SFP_GEN[43].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__42_n_0 | ipb_rst_BUFG | 12 | 32 | 2.67 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 7 | 32 | 4.57 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | CLK | SFP_GEN[19].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__18_n_0 | ipb_rst_BUFG | 8 | 32 | 4.00 | | CLK | SFP_GEN[19].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__18_n_0 | ipb_rst_BUFG | 10 | 32 | 3.20 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | CLK | SFP_GEN[19].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__18_n_0 | ipb_rst_BUFG | 5 | 32 | 6.40 | | CLK | SFP_GEN[43].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__42_n_0 | ipb_rst_BUFG | 6 | 32 | 5.33 | | CLK | ctrl_regs_inst/regs[47]_111 | ipb_rst_BUFG | 7 | 32 | 4.57 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 3 | 32 | 10.67 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 9 | 32 | 3.56 | | CLK | ctrl_regs_inst/regs[52]_172 | ipb_rst_BUFG | 18 | 32 | 1.78 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 6 | 32 | 5.33 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 9 | 32 | 3.56 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 3 | 32 | 10.67 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | CLK | ctrl_regs_inst/regs[27]_121 | ipb_rst_BUFG | 16 | 32 | 2.00 | | CLK | ctrl_regs_inst/regs[58]_169 | ipb_rst_BUFG | 18 | 32 | 1.78 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 6 | 32 | 5.33 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 5 | 32 | 6.40 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | CLK | SFP_GEN[26].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__25_n_0 | ipb_rst_BUFG | 9 | 32 | 3.56 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 9 | 32 | 3.56 | | CLK | SFP_GEN[27].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 32 | 5.33 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 5 | 32 | 6.40 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | CLK | ctrl_regs_inst/regs[55]_107 | ipb_rst_BUFG | 15 | 32 | 2.13 | | CLK | SFP_GEN[9].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 7 | 32 | 4.57 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 7 | 32 | 4.57 | | CLK | ctrl_regs_inst/regs[16]_190 | ipb_rst_BUFG | 5 | 32 | 6.40 | | CLK | SFP_GEN[15].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__14_n_0 | ipb_rst_BUFG | 6 | 32 | 5.33 | | CLK | SFP_GEN[15].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__14_n_0 | ipb_rst_BUFG | 13 | 32 | 2.46 | | CLK | SFP_GEN[15].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__14_n_0 | ipb_rst_BUFG | 8 | 32 | 4.00 | | CLK | SFP_GEN[35].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 7 | 32 | 4.57 | | CLK | ctrl_regs_inst/regs[61]_104 | ipb_rst_BUFG | 18 | 32 | 1.78 | | CLK | SFP_GEN[23].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__22_n_0 | ipb_rst_BUFG | 13 | 32 | 2.46 | | CLK | SFP_GEN[23].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__22_n_0 | ipb_rst_BUFG | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 4 | 32 | 8.00 | | CLK | ctrl_regs_inst/regs[63]_103 | ipb_rst_BUFG | 15 | 32 | 2.13 | | CLK | ctrl_regs_inst/regs[117]_76 | ipb_rst_BUFG | 6 | 32 | 5.33 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[25].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 9 | 32 | 3.56 | | CLK | SFP_GEN[33].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__32_n_0 | ipb_rst_BUFG | 5 | 32 | 6.40 | | CLK | ctrl_regs_inst/regs[18]_189 | ipb_rst_BUFG | 6 | 32 | 5.33 | | CLK | SFP_GEN[33].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__32_n_0 | ipb_rst_BUFG | 13 | 32 | 2.46 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | CLK | ctrl_regs_inst/regs[67]_101 | ipb_rst_BUFG | 17 | 32 | 1.88 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 7 | 32 | 4.57 | | CLK | SFP_GEN[33].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__32_n_0 | ipb_rst_BUFG | 9 | 32 | 3.56 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[27].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 8 | 32 | 4.00 | | CLK | ctrl_regs_inst/regs[12]_192 | ipb_rst_BUFG | 4 | 32 | 8.00 | | CLK | ctrl_regs_inst/regs[89]_90 | ipb_rst_BUFG | 8 | 32 | 4.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 8 | 32 | 4.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 6 | 32 | 5.33 | | CLK | ctrl_regs_inst/regs[101]_84 | ipb_rst_BUFG | 4 | 32 | 8.00 | | CLK | ctrl_regs_inst/regs[40]_178 | ipb_rst_BUFG | 12 | 32 | 2.67 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[17].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 12 | 32 | 2.67 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 5 | 32 | 6.40 | | CLK | ctrl_regs_inst/regs[114]_141 | ipb_rst_BUFG | 6 | 32 | 5.33 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[43].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 7 | 32 | 4.57 | | CLK | ctrl_regs_inst/regs[28]_184 | ipb_rst_BUFG | 12 | 32 | 2.67 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 3 | 32 | 10.67 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[19].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 7 | 32 | 4.57 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | CLK | ctrl_regs_inst/regs[111]_79 | ipb_rst_BUFG | 3 | 32 | 10.67 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[15].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 8 | 32 | 4.00 | | CLK | SFP_GEN[23].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__22_n_0 | ipb_rst_BUFG | 10 | 32 | 3.20 | | CLK | ctrl_regs_inst/regs[10]_193 | ipb_rst_BUFG | 6 | 32 | 5.33 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 7 | 32 | 4.57 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 5 | 32 | 6.40 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 6 | 32 | 5.33 | | CLK | SFP_GEN[39].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__38_n_0 | ipb_rst_BUFG | 8 | 32 | 4.00 | | CLK | SFP_GEN[39].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__38_n_0 | ipb_rst_BUFG | 13 | 32 | 2.46 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | CLK | SFP_GEN[39].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__38_n_0 | ipb_rst_BUFG | 9 | 32 | 3.56 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 7 | 32 | 4.57 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 9 | 32 | 3.56 | | CLK | ctrl_regs_inst/regs[3]_133 | ipb_rst_BUFG | 19 | 32 | 1.68 | | CLK | SFP_GEN[32].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__31_n_0 | ipb_rst_BUFG | 5 | 32 | 6.40 | | CLK | SFP_GEN[32].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__31_n_0 | ipb_rst_BUFG | 12 | 32 | 2.67 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | CLK | SFP_GEN[45].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__44_n_0 | ipb_rst_BUFG | 7 | 32 | 4.57 | | CLK | ctrl_regs_inst/regs[54]_171 | ipb_rst_BUFG | 18 | 32 | 1.78 | | CLK | SFP_GEN[32].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__31_n_0 | ipb_rst_BUFG | 10 | 32 | 3.20 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 4 | 32 | 8.00 | | CLK | ctrl_regs_inst/regs[50]_173 | ipb_rst_BUFG | 13 | 32 | 2.46 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 2 | 32 | 16.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 2 | 32 | 16.00 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 7 | 32 | 4.57 | | CLK | ctrl_regs_inst/regs[99]_85 | ipb_rst_BUFG | 4 | 32 | 8.00 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[22].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 10 | 32 | 3.20 | | CLK | SFP_GEN[26].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 8 | 32 | 4.00 | | CLK | ctrl_regs_inst/regs[103]_83 | ipb_rst_BUFG | 5 | 32 | 6.40 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | CLK | ctrl_regs_inst/regs[125]_72 | ipb_rst_BUFG | 4 | 32 | 8.00 | | CLK | ctrl_regs_inst/regs[62]_167 | ipb_rst_BUFG | 17 | 32 | 1.88 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 6 | 32 | 5.33 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 6 | 32 | 5.33 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[34].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 8 | 32 | 4.00 | | CLK | SFP_GEN[13].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__12_n_0 | ipb_rst_BUFG | 5 | 32 | 6.40 | | CLK | SFP_GEN[13].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__12_n_0 | ipb_rst_BUFG | 12 | 32 | 2.67 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 3 | 32 | 10.67 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | CLK | SFP_GEN[13].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__12_n_0 | ipb_rst_BUFG | 11 | 32 | 2.91 | | CLK | ctrl_regs_inst/regs[118]_139 | ipb_rst_BUFG | 6 | 32 | 5.33 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 7 | 32 | 4.57 | | CLK | ctrl_regs_inst/regs[5]_132 | ipb_rst_BUFG | 13 | 32 | 2.46 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 8 | 32 | 4.00 | | CLK | SFP_GEN[36].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 7 | 32 | 4.57 | | CLK | ctrl_regs_inst/regs[14]_191 | ipb_rst_BUFG | 2 | 32 | 16.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 5 | 32 | 6.40 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 8 | 32 | 4.00 | | CLK | ctrl_regs_inst/regs[17]_126 | ipb_rst_BUFG | 7 | 32 | 4.57 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[23].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 9 | 32 | 3.56 | | CLK | ctrl_regs_inst/regs[108]_144 | ipb_rst_BUFG | 3 | 32 | 10.67 | | CLK | ctrl_regs_inst/regs[115]_77 | ipb_rst_BUFG | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 6 | 32 | 5.33 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | CLK | ctrl_regs_inst/regs[22]_187 | ipb_rst_BUFG | 20 | 32 | 1.60 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 3 | 32 | 10.67 | | CLK | SFP_GEN[25].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 32 | 5.33 | | CLK | ctrl_regs_inst/regs[74]_161 | ipb_rst_BUFG | 3 | 32 | 10.67 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 6 | 32 | 5.33 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[13].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 8 | 32 | 4.00 | | CLK | SFP_GEN[24].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 8 | 32 | 4.00 | | tx_wordclk | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | CLK | ctrl_regs_inst/regs[104]_146 | ipb_rst_BUFG | 7 | 32 | 4.57 | | CLK | ctrl_regs_inst/regs[42]_177 | ipb_rst_BUFG | 16 | 32 | 2.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[44].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 9 | 32 | 3.56 | | CLK | ipb/udp_if/clock_crossing_if/FSM_onehot_state_reg[1][0] | | 14 | 32 | 2.29 | | CLK | ctrl_regs_inst/regs[19]_125 | ipb_rst_BUFG | 8 | 32 | 4.00 | | CLK | SFP_GEN[23].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/IPbus_DataOut[31]_i_1_n_0 | | 6 | 32 | 5.33 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/reg_ngccm_jtag_i_reg_n_0_[9] | SFP_GEN[28].ngCCM_gbt/Sync_TX_Reset/jtag_reset_local[0] | 7 | 32 | 4.57 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 3 | 32 | 10.67 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 6 | 32 | 5.33 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | CLK | ctrl_regs_inst/regs[127]_71 | ipb_rst_BUFG | 2 | 32 | 16.00 | | CLK | SFP_GEN[0].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1_n_0 | ipb_rst_BUFG | 4 | 32 | 8.00 | | CLK | SFP_GEN[20].ngFEC_module/buffer_ngccm_jtag/sync[31]_i_1__19_n_0 | ipb_rst_BUFG | 5 | 32 | 6.40 | | CLK | SFP_GEN[0].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1_n_0 | ipb_rst_BUFG | 11 | 32 | 2.91 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/LocalJTAGBridge_inst/JTAGMaster_inst/TDOBits0 | | 6 | 32 | 5.33 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 6 | 32 | 5.33 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc1_carry__0_n_6 | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/phase_detector_acc | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/reset_txusr | 4 | 32 | 8.00 | | CLK | SFP_GEN[20].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__19_n_0 | ipb_rst_BUFG | 9 | 32 | 3.56 | | CLK | SFP_GEN[0].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1_n_0 | ipb_rst_BUFG | 7 | 32 | 4.57 | | CLK | SFP_GEN[20].ngFEC_module/buffer_ngccm_jtag/ngccm_mosi[ipb_wdata][31]_i_1__19_n_0 | ipb_rst_BUFG | 10 | 32 | 3.20 | | CLK | SFP_GEN[44].ngFEC_module/buffer_ngccm_jtag/jtag_command[31]_i_1__43_n_0 | ipb_rst_BUFG | 8 | 32 | 4.00 | | CLK | ctrl_regs_inst/regs[6]_195 | ipb_rst_BUFG | 9 | 32 | 3.56 | | tx_wordclk | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_tx_phase_aligner/cmp_fifo_fill_level_acc/done_o0 | | 3 | 32 | 10.67 | | tx_wordclk | TX_CLKEN_repN_57 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/gbt_txreset_s[0] | 17 | 33 | 1.94 | | CLKFBIN | | eth/phy/U0/gig_ethernet_pcs_pma_0_core/gpcs_pma_inst/MGT_TX_RESET | 10 | 33 | 3.30 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[35].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[35].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[35].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[35].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[35].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 5 | 34 | 6.80 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[7].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[7].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[7].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 5 | 34 | 6.80 | | CLK | SFP_GEN[7].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[7].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[7].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[40].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 12 | 34 | 2.83 | | CLK | SFP_GEN[40].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[7].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[40].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[40].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[7].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[40].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[40].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 12 | 34 | 2.83 | | CLK | SFP_GEN[7].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[40].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[40].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 15 | 34 | 2.27 | | CLK | SFP_GEN[7].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 12 | 34 | 2.83 | | CLK | SFP_GEN[7].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[40].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[40].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[40].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[40].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 14 | 34 | 2.43 | | CLK | SFP_GEN[7].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[40].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[7].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[36].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[36].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[36].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[36].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[36].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[36].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[36].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[36].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[36].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[36].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[36].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[36].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[36].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[42].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[42].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 12 | 34 | 2.83 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[39].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[39].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[39].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[39].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[39].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[39].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[39].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[42].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[39].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[39].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[39].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[39].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[39].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[39].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[8].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[42].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[8].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[42].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[8].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[8].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[8].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[42].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[8].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[8].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[8].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[8].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 5 | 34 | 6.80 | | CLK | SFP_GEN[8].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[8].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[8].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[42].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[42].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[42].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[42].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[42].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[42].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[42].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 14 | 34 | 2.43 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[3].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[3].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[3].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[3].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[3].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[3].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 13 | 34 | 2.62 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[3].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 12 | 34 | 2.83 | | CLK | SFP_GEN[3].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[3].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 12 | 34 | 2.83 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[3].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[3].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[3].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 14 | 34 | 2.43 | | CLK | SFP_GEN[3].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[6].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[6].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[6].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[6].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[6].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[37].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[37].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[37].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[46].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[46].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[46].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[46].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[46].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[37].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[46].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[37].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[46].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[46].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[46].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[37].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[46].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[46].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[37].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[46].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[37].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[6].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[37].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[37].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[37].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[37].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[37].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[6].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 13 | 34 | 2.62 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 12 | 34 | 2.83 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[6].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[6].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[6].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[6].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[6].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[38].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[38].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[38].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[38].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[38].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[38].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[38].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[38].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[38].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[38].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[38].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[38].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[38].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[41].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[41].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[41].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[41].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[41].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[41].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[41].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[41].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[41].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[1].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[1].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[1].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[1].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 5 | 34 | 6.80 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[16].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[16].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[16].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[16].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[16].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[16].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[16].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[16].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[16].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[16].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[18].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 5 | 34 | 6.80 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[19].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[19].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[19].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[19].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[19].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[19].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[18].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[2].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[19].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[19].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[19].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[19].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[19].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[19].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 13 | 34 | 2.62 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[15].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[15].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 13 | 34 | 2.62 | | CLK | SFP_GEN[15].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[16].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[16].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[16].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 12 | 34 | 2.83 | | CLK | SFP_GEN[15].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[28].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[15].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 5 | 34 | 6.80 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[20].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[20].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[20].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[20].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 12 | 34 | 2.83 | | CLK | SFP_GEN[20].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[13].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[13].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[13].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 14 | 34 | 2.43 | | CLK | SFP_GEN[13].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 12 | 34 | 2.83 | | CLK | SFP_GEN[13].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[13].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 13 | 34 | 2.62 | | CLK | SFP_GEN[13].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[13].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[13].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[13].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[13].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[13].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 12 | 34 | 2.83 | | CLK | SFP_GEN[13].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 12 | 34 | 2.83 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[20].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[20].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[20].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[20].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[20].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[20].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[20].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[20].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 12 | 34 | 2.83 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 5 | 34 | 6.80 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 5 | 34 | 6.80 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 5 | 34 | 6.80 | | CLK | SFP_GEN[18].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[12].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[12].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[12].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[12].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[12].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 12 | 34 | 2.83 | | CLK | SFP_GEN[12].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[12].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[12].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[12].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[12].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[12].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[12].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[21].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[32].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[21].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[21].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[21].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[21].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[21].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[21].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[21].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[21].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 12 | 34 | 2.83 | | CLK | SFP_GEN[21].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[21].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[21].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[21].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[11].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[11].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[11].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[11].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[11].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[11].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[11].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[11].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[11].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[11].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[11].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 5 | 34 | 6.80 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[18].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[18].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[18].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[18].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[18].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[46].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[18].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[22].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[22].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[22].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[22].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[18].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[22].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[22].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[22].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[22].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[22].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 12 | 34 | 2.83 | | CLK | SFP_GEN[18].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[22].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[22].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[22].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[22].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[18].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 13 | 34 | 2.62 | | CLK | SFP_GEN[10].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[10].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[10].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[10].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 12 | 34 | 2.83 | | CLK | SFP_GEN[10].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[10].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[10].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[10].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[10].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[10].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[10].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[10].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[10].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 14 | 34 | 2.43 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[23].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[23].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[23].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[23].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[23].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[23].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[23].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[23].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[23].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[23].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[23].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[23].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[23].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[9].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[9].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[9].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[9].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[9].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[9].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[9].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[9].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[9].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[9].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[9].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[9].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 12 | 34 | 2.83 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[0].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 13 | 34 | 2.62 | | CLK | SFP_GEN[0].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[0].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[0].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 12 | 34 | 2.83 | | CLK | SFP_GEN[0].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[0].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 13 | 34 | 2.62 | | CLK | SFP_GEN[0].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[0].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[0].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[0].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[0].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[0].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 12 | 34 | 2.83 | | CLK | SFP_GEN[0].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[15].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[29].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[24].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 12 | 34 | 2.83 | | CLK | SFP_GEN[24].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[24].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[24].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 13 | 34 | 2.62 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[24].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[24].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[24].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[24].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[24].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[24].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[24].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 13 | 34 | 2.62 | | CLK | SFP_GEN[24].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[24].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 13 | 34 | 2.62 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[47].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[47].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[47].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[47].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[47].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[47].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[47].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[47].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[47].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[47].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[47].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[47].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[12].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 5 | 34 | 6.80 | | CLK | SFP_GEN[15].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[26].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[14].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[14].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[14].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[14].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[14].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 13 | 34 | 2.62 | | CLK | SFP_GEN[14].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[14].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[14].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[14].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[14].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[14].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[14].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[18].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 12 | 34 | 2.83 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[14].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[25].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[25].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[25].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[25].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[25].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[25].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[15].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[15].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[15].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[15].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[15].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[15].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[19].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[11].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[11].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[25].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 5 | 34 | 6.80 | | CLK | SFP_GEN[25].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[47].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[25].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[9].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[25].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[25].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[25].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 5 | 34 | 6.80 | | CLK | SFP_GEN[26].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[26].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[26].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[26].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[26].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[26].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[26].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[26].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[26].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[26].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[26].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[26].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | ctrl_regs_inst/regs[1]_134 | ipb_rst_BUFG | 12 | 34 | 2.83 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 5 | 34 | 6.80 | | CLK | i_I2C_if/I2C_array[5].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[27].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[27].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[27].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 12 | 34 | 2.83 | | CLK | SFP_GEN[27].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[27].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | i_I2C_if/I2C_array[5].buffer_server/ngccm_state[5]_20[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | i_I2C_if/I2C_array[4].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | i_I2C_if/I2C_array[4].buffer_server/ngccm_state[4]_18[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[27].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[27].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 12 | 34 | 2.83 | | CLK | i_I2C_if/I2C_array[3].buffer_server/ngccm_state[3]_16[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[27].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[27].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[27].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[27].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 12 | 34 | 2.83 | | CLK | SFP_GEN[27].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[27].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | i_I2C_if/I2C_array[3].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | i_I2C_if/I2C_array[2].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | i_I2C_if/I2C_array[2].buffer_server/ngccm_state[2]_14[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | i_I2C_if/I2C_array[7].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | i_I2C_if/I2C_array[7].buffer_server/ngccm_state[7]_24[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | i_I2C_if/I2C_array[6].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | i_I2C_if/I2C_array[6].buffer_server/ngccm_state[6]_22[0] | ipb_rst_BUFG | 5 | 34 | 6.80 | | CLK | i_I2C_if/I2C_array[8].buffer_server/ngccm_state[8]_26[0] | ipb_rst_BUFG | 12 | 34 | 2.83 | | CLK | i_I2C_if/I2C_array[8].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | i_I2C_if/I2C_array[1].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | i_I2C_if/I2C_array[1].buffer_server/ngccm_state[1]_12[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | i_I2C_if/I2C_array[0].buffer_server/ngccm_state_o_reg[1]_4[0] | ipb_rst_BUFG | 12 | 34 | 2.83 | | CLK | i_I2C_if/I2C_array[0].buffer_server/ngccm_state[0]_10[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 5 | 34 | 6.80 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[28].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[28].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[28].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[28].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[28].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[28].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[28].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[28].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[28].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[28].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[28].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[28].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[2].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[41].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[41].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[41].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[41].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[2].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 13 | 34 | 2.62 | | CLK | SFP_GEN[8].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[2].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[2].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[2].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 12 | 34 | 2.83 | | CLK | SFP_GEN[2].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[2].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[2].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 12 | 34 | 2.83 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[1].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[1].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[2].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[2].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[1].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 5 | 34 | 6.80 | | CLK | SFP_GEN[2].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 12 | 34 | 2.83 | | CLK | SFP_GEN[2].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[17].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[17].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[17].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[17].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[17].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[17].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[17].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[17].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[17].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[17].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[17].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[17].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[29].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[17].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 12 | 34 | 2.83 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[1].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[1].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[1].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[29].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[29].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[29].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[29].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[1].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[29].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 14 | 34 | 2.43 | | CLK | SFP_GEN[29].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[29].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[29].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[29].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[29].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 12 | 34 | 2.83 | | CLK | SFP_GEN[29].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[45].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[45].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[1].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[45].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[30].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[30].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[30].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[30].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[45].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 12 | 34 | 2.83 | | CLK | SFP_GEN[30].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[45].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[45].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[45].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[30].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[30].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[30].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[30].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[45].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[45].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[45].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[45].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[30].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 12 | 34 | 2.83 | | CLK | SFP_GEN[30].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[30].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[30].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[45].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 12 | 34 | 2.83 | | CLK | SFP_GEN[45].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 5 | 34 | 6.80 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[4].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[4].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[31].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[31].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[31].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[4].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 13 | 34 | 2.62 | | CLK | SFP_GEN[31].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 15 | 34 | 2.27 | | CLK | SFP_GEN[4].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[31].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[4].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[31].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[4].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[31].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[4].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[31].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[31].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[31].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[4].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[31].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[31].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[4].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[31].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[4].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[4].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[4].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[4].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[44].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[44].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[44].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[25].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[32].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[6].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[32].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[44].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 13 | 34 | 2.62 | | CLK | SFP_GEN[44].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[32].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[44].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[44].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[32].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[32].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[44].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[44].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[44].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[44].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[32].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[32].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[32].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[32].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[32].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 14 | 34 | 2.43 | | CLK | SFP_GEN[32].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[32].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[44].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[44].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[33].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[33].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[33].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[33].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 12 | 34 | 2.83 | | CLK | SFP_GEN[33].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[33].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[33].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 14 | 34 | 2.43 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[33].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[33].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[33].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[33].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[33].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[33].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 5 | 34 | 6.80 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[1].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[34].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[34].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[34].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[34].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[34].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[34].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[5].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[5].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[34].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[34].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[43].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[5].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[43].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[43].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[5].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[43].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[43].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[5].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[34].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[34].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[34].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 12 | 34 | 2.83 | | CLK | SFP_GEN[43].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[43].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[5].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[43].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[43].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[5].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[43].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[43].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[5].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[43].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[34].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[43].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[5].ngFEC_module/i2c_comm_gen[5].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[34].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 12 | 34 | 2.83 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[5].ngFEC_module/i2c_comm_gen[6].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[5].ngFEC_module/i2c_comm_gen[7].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[5].ngFEC_module/i2c_comm_gen[8].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[5].ngFEC_module/i2c_comm_gen[9].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[8].buffer_server/ngccm_state[8]_31[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[9].buffer_server/ngccm_state[9]_33[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[11].buffer_server/ngccm_state[11]_37[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[0].buffer_server/ngccm_state[0]_15[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[13].buffer_server/ngccm_state[13]_41[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[2].buffer_server/ngccm_state[2]_19[0] | ipb_rst_BUFG | 6 | 34 | 5.67 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[1].buffer_server/ngccm_state[1]_17[0] | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[3].buffer_server/ngccm_state[3]_21[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[6].buffer_server/ngccm_state[6]_27[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[4].buffer_server/ngccm_state[4]_23[0] | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[5].buffer_server/ngccm_state[5]_25[0] | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[35].ngFEC_module/bkp_buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 11 | 34 | 3.09 | | CLK | SFP_GEN[35].ngFEC_module/i2c_comm_gen[0].buffer_ngccm/i___24_n_0 | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[10].buffer_server/ngccm_state[10]_35[0] | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[35].ngFEC_module/i2c_comm_gen[10].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[7].buffer_server/ngccm_state[7]_29[0] | ipb_rst_BUFG | 10 | 34 | 3.40 | | CLK | SFP_GEN[35].ngFEC_module/i2c_comm_gen[11].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLK | SFP_GEN[35].ngFEC_module/i2c_comm_gen[1].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[35].ngFEC_module/i2c_comm_gen[2].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 7 | 34 | 4.86 | | CLK | SFP_GEN[35].ngFEC_module/i2c_comm_gen[3].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 8 | 34 | 4.25 | | CLK | SFP_GEN[35].ngFEC_module/i2c_comm_gen[4].buffer_ngccm/i___23_n_0 | ipb_rst_BUFG | 9 | 34 | 3.78 | | CLKFBIN | eth/mac/i_mac/emacclientrxdvld_reg_0 | | 5 | 35 | 7.00 | | i_tcds2_if/i_mgt_wrapper/i_txusrclk/bbstub_txoutclk_out[0] | | i_tcds2_if/i_mgt_wrapper/i_reset_sm/reset_synchronizer_tx_done_inst/gtwiz_reset_tx_done_in[0] | 22 | 35 | 1.59 | | tx_wordclk | TX_CLKEN_repN_29 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbt_txreset_s[0] | 18 | 35 | 1.94 | | fabric_clk | | SFP_GEN[8].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 28 | 36 | 1.29 | | fabric_clk | | SFP_GEN[26].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 25 | 36 | 1.44 | | fabric_clk | | SFP_GEN[27].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 28 | 36 | 1.29 | | fabric_clk | | SFP_GEN[13].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 29 | 36 | 1.24 | | fabric_clk | | SFP_GEN[14].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 31 | 36 | 1.16 | | fabric_clk | | SFP_GEN[42].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 30 | 36 | 1.20 | | fabric_clk | | SFP_GEN[15].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 27 | 36 | 1.33 | | fabric_clk | | SFP_GEN[28].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 28 | 36 | 1.29 | | fabric_clk | | SFP_GEN[2].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 24 | 36 | 1.50 | | fabric_clk | | SFP_GEN[20].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 26 | 36 | 1.38 | | fabric_clk | | SFP_GEN[43].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 23 | 36 | 1.57 | | fabric_clk | | SFP_GEN[16].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 33 | 36 | 1.09 | | fabric_clk | | SFP_GEN[44].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 29 | 36 | 1.24 | | fabric_clk | | SFP_GEN[45].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 25 | 36 | 1.44 | | fabric_clk | | SFP_GEN[17].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 31 | 36 | 1.16 | | fabric_clk | | SFP_GEN[29].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 27 | 36 | 1.33 | | fabric_clk | | SFP_GEN[18].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 27 | 36 | 1.33 | | fabric_clk | | SFP_GEN[30].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 32 | 36 | 1.13 | | fabric_clk | | SFP_GEN[1].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 22 | 36 | 1.64 | | fabric_clk | | SFP_GEN[38].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 25 | 36 | 1.44 | | fabric_clk | | SFP_GEN[36].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 30 | 36 | 1.20 | | fabric_clk | | SFP_GEN[35].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 28 | 36 | 1.29 | | fabric_clk | | SFP_GEN[34].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 29 | 36 | 1.24 | | fabric_clk | | SFP_GEN[31].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 34 | 36 | 1.06 | | fabric_clk | | SFP_GEN[21].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 25 | 36 | 1.44 | | fabric_clk | | SFP_GEN[22].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 28 | 36 | 1.29 | | fabric_clk | | SFP_GEN[3].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 22 | 36 | 1.64 | | fabric_clk | | SFP_GEN[6].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 23 | 36 | 1.57 | | fabric_clk | | SFP_GEN[39].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 28 | 36 | 1.29 | | fabric_clk | | SFP_GEN[32].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 29 | 36 | 1.24 | | fabric_clk | | SFP_GEN[40].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 29 | 36 | 1.24 | | CLK | ipb/trans/sm/rmw_result[31]_i_1_n_0 | | 23 | 36 | 1.57 | | CLKFBIN | | ipb/udp_if/rx_reset_block/SR[0] | 25 | 36 | 1.44 | | fabric_clk | | SFP_GEN[33].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 31 | 36 | 1.16 | | fabric_clk | | SFP_GEN[9].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 23 | 36 | 1.57 | | fabric_clk | | SFP_GEN[47].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 28 | 36 | 1.29 | | fabric_clk | | SFP_GEN[0].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 25 | 36 | 1.44 | | fabric_clk | | SFP_GEN[19].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 24 | 36 | 1.50 | | fabric_clk | | SFP_GEN[10].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 22 | 36 | 1.64 | | fabric_clk | | SFP_GEN[23].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 27 | 36 | 1.33 | | fabric_clk | | SFP_GEN[24].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 31 | 36 | 1.16 | | fabric_clk | | SFP_GEN[41].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 25 | 36 | 1.44 | | fabric_clk | | SFP_GEN[4].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 26 | 36 | 1.38 | | fabric_clk | | SFP_GEN[46].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 27 | 36 | 1.33 | | fabric_clk | | SFP_GEN[37].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 30 | 36 | 1.20 | | fabric_clk | | SFP_GEN[11].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 25 | 36 | 1.44 | | i_tcds2_if/i_mgt_wrapper/i_rxusrclk/bbstub_rxoutclk_out[0] | | i_tcds2_if/cmp_lpgbtfpga_uplink/rxgearbox_10g_gen.rxGearbox_10g24_inst/gbReset_s | 18 | 36 | 2.00 | | fabric_clk | | SFP_GEN[25].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 24 | 36 | 1.50 | | fabric_clk | | SFP_GEN[7].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 24 | 36 | 1.50 | | fabric_clk | | SFP_GEN[12].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 24 | 36 | 1.50 | | fabric_clk | | SFP_GEN[5].ngCCM_gbt/Sync_TX_Reset/sync_m[3] | 23 | 36 | 1.57 | | tx_wordclk | TX_CLKEN_repN_5 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbt_txreset_s[0] | 13 | 38 | 2.92 | | tx_wordclk | TX_CLKEN_repN_23 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbt_txreset_s[0] | 16 | 39 | 2.44 | | CLKFBIN | ipb/udp_if/rx_reset_block/arp.pkt_mask_reg[41] | ipb/udp_if/rx_reset_block/SR[0] | 6 | 39 | 6.50 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 14 | 40 | 2.86 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 14 | 40 | 2.86 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 14 | 40 | 2.86 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[39].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 8 | 40 | 5.00 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[7].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 14 | 40 | 2.86 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[3].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 14 | 40 | 2.86 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[8].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 16 | 40 | 2.50 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 15 | 40 | 2.67 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 14 | 40 | 2.86 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 8 | 40 | 5.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 14 | 40 | 2.86 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 8 | 40 | 5.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 14 | 40 | 2.86 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | clk250 | g_clock_rate_din[15].i_rate_ngccm_status0/E[0]_repN_4 | | 11 | 40 | 3.64 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 14 | 40 | 2.86 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[35].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 14 | 40 | 2.86 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 15 | 40 | 2.67 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 8 | 40 | 5.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 14 | 40 | 2.86 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 14 | 40 | 2.86 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 8 | 40 | 5.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 8 | 40 | 5.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[29].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 17 | 40 | 2.35 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[11].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[47].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 7 | 40 | 5.71 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 8 | 40 | 5.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[36].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 8 | 40 | 5.00 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[30].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 7 | 40 | 5.71 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[23].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 14 | 40 | 2.86 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 14 | 40 | 2.86 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 15 | 40 | 2.67 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[0].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[2].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[37].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 8 | 40 | 5.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[44].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 14 | 40 | 2.86 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[20].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[26].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 14 | 40 | 2.86 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 15 | 40 | 2.67 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[41].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 15 | 40 | 2.67 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 7 | 40 | 5.71 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[16].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[12].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[38].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[31].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[6].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 8 | 40 | 5.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[21].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[10].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[40].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 14 | 40 | 2.86 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 14 | 40 | 2.86 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 8 | 40 | 5.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 14 | 40 | 2.86 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[32].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[46].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 8 | 40 | 5.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[13].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 8 | 40 | 5.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 14 | 40 | 2.86 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 8 | 40 | 5.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[4].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLKFBIN | ipb/udp_if/rx_reset_block/arp.pkt_mask_reg[41] | | 11 | 40 | 3.64 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 8 | 40 | 5.00 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[43].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[27].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 14 | 40 | 2.86 | | CLK | SFP_GEN[19].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[33].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[25].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 15 | 40 | 2.67 | | CLKFBIN | | eth/phy/U0/gig_ethernet_pcs_pma_0_core/gpcs_pma_inst/MGT_RX_RESET | 10 | 40 | 4.00 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 14 | 40 | 2.86 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[22].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[9].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 8 | 40 | 5.00 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[28].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[13].buffer_server/ngccm_state_o_reg[1]_4 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[45].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[6].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 7 | 40 | 5.71 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[14].ngFEC_module/bram_array[5].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[24].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[7].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 8 | 40 | 5.00 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[11].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 8 | 40 | 5.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[3].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 13 | 40 | 3.08 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[9].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 12 | 40 | 3.33 | | CLK | SFP_GEN[42].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[5].ngFEC_module/bram_array[0].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[17].ngFEC_module/bram_array[8].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[15].ngFEC_module/bram_array[2].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 10 | 40 | 4.00 | | CLK | SFP_GEN[18].ngFEC_module/bram_array[4].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[10].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 11 | 40 | 3.64 | | CLK | SFP_GEN[34].ngFEC_module/bram_array[1].buffer_server/ngccm_state_o_reg[1]_3 | ipb_rst_BUFG | 9 | 40 | 4.44 | | tx_wordclk | TX_CLKEN_repN_45 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/gbt_txreset_s[0] | 19 | 41 | 2.16 | | clk250 | g_clock_rate_din[14].i_rate_ngccm_status0/E[0]_repN_4 | | 5 | 41 | 8.20 | | tx_wordclk | TX_CLKEN_repN_34 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/gbt_txreset_s[0] | 17 | 42 | 2.47 | | CLKFBIN | ipb/udp_if/rx_reset_block/ip_pkt.pkt_mask_reg[33] | | 15 | 43 | 2.87 | | tx_wordclk | TX_CLKEN_repN_47 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/gbt_txreset_s[0] | 20 | 43 | 2.15 | | fabric_clk | SFP_GEN[32].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 11 | 44 | 4.00 | | fabric_clk | SFP_GEN[11].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 9 | 44 | 4.89 | | fabric_clk | SFP_GEN[28].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 12 | 44 | 3.67 | | fabric_clk | SFP_GEN[33].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 8 | 44 | 5.50 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 10 | 44 | 4.40 | | fabric_clk | SFP_GEN[34].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 11 | 44 | 4.00 | | fabric_clk | SFP_GEN[41].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 14 | 44 | 3.14 | | fabric_clk | SFP_GEN[47].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 11 | 44 | 4.00 | | fabric_clk | SFP_GEN[38].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 13 | 44 | 3.38 | | fabric_clk | SFP_GEN[42].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 16 | 44 | 2.75 | | fabric_clk | SFP_GEN[27].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 9 | 44 | 4.89 | | fabric_clk | SFP_GEN[19].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 12 | 44 | 3.67 | | fabric_clk | SFP_GEN[43].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 18 | 44 | 2.44 | | fabric_clk | SFP_GEN[46].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 14 | 44 | 3.14 | | fabric_clk | SFP_GEN[4].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 15 | 44 | 2.93 | | fabric_clk | SFP_GEN[16].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 17 | 44 | 2.59 | | fabric_clk | SFP_GEN[37].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 18 | 44 | 2.44 | | fabric_clk | SFP_GEN[35].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 14 | 44 | 3.14 | | fabric_clk | SFP_GEN[24].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 17 | 44 | 2.59 | | fabric_clk | SFP_GEN[15].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 10 | 44 | 4.40 | | fabric_clk | SFP_GEN[39].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 14 | 44 | 3.14 | | fabric_clk | SFP_GEN[45].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 12 | 44 | 3.67 | | fabric_clk | SFP_GEN[29].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 14 | 44 | 3.14 | | fabric_clk | SFP_GEN[18].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 14 | 44 | 3.14 | | fabric_clk | SFP_GEN[8].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 13 | 44 | 3.38 | | fabric_clk | SFP_GEN[3].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 15 | 44 | 2.93 | | fabric_clk | SFP_GEN[31].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 13 | 44 | 3.38 | | fabric_clk | SFP_GEN[0].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 14 | 44 | 3.14 | | fabric_clk | SFP_GEN[1].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 13 | 44 | 3.38 | | fabric_clk | SFP_GEN[20].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 8 | 44 | 5.50 | | fabric_clk | SFP_GEN[5].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 14 | 44 | 3.14 | | fabric_clk | SFP_GEN[2].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 10 | 44 | 4.40 | | fabric_clk | SFP_GEN[21].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 13 | 44 | 3.38 | | fabric_clk | SFP_GEN[22].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 15 | 44 | 2.93 | | fabric_clk | SFP_GEN[7].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 15 | 44 | 2.93 | | fabric_clk | SFP_GEN[30].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 13 | 44 | 3.38 | | fabric_clk | SFP_GEN[12].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 10 | 44 | 4.40 | | fabric_clk | SFP_GEN[36].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 15 | 44 | 2.93 | | fabric_clk | SFP_GEN[25].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 11 | 44 | 4.00 | | fabric_clk | SFP_GEN[44].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 12 | 44 | 3.67 | | fabric_clk | SFP_GEN[10].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 15 | 44 | 2.93 | | fabric_clk | SFP_GEN[6].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 16 | 44 | 2.75 | | fabric_clk | SFP_GEN[17].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 12 | 44 | 3.67 | | fabric_clk | SFP_GEN[9].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 15 | 44 | 2.93 | | fabric_clk | SFP_GEN[26].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 15 | 44 | 2.93 | | fabric_clk | SFP_GEN[40].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 20 | 44 | 2.20 | | fabric_clk | SFP_GEN[13].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 11 | 44 | 4.00 | | fabric_clk | SFP_GEN[23].ngCCM_gbt/IPbus_gen[12].IPbus_local_inst/addr_local[11]_i_1_n_0 | | 12 | 44 | 3.67 | | tx_wordclk | TX_CLKEN_repN_58 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/gbt_txreset_s[0] | 16 | 45 | 2.81 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[19].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[19].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 11 | 48 | 4.36 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[7].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[7].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 14 | 48 | 3.43 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[29].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[29].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 11 | 48 | 4.36 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[31].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[31].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 11 | 48 | 4.36 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[46].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[46].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 13 | 48 | 3.69 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[43].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[43].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 17 | 48 | 2.82 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[18].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[18].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 9 | 48 | 5.33 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[38].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[38].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 14 | 48 | 3.43 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[14].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[14].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 14 | 48 | 3.43 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[1].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[1].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 16 | 48 | 3.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[34].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[34].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 13 | 48 | 3.69 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[39].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[39].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 17 | 48 | 2.82 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[44].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[44].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 15 | 48 | 3.20 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[27].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[27].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 11 | 48 | 4.36 | | tx_wordclk | TX_CLKEN_repN_12 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/gbt_txreset_s[0] | 17 | 48 | 2.82 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[26].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[26].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 12 | 48 | 4.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[16].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[16].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 13 | 48 | 3.69 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[13].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[13].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 12 | 48 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[28].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[28].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 16 | 48 | 3.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[42].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[42].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 11 | 48 | 4.36 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[32].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[32].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 15 | 48 | 3.20 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[23].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[23].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 13 | 48 | 3.69 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[8].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[8].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 14 | 48 | 3.43 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[11].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[11].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 9 | 48 | 5.33 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[10].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[10].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 12 | 48 | 4.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[15].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[15].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 9 | 48 | 5.33 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[30].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[30].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 16 | 48 | 3.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[45].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[45].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 13 | 48 | 3.69 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[3].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[3].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 14 | 48 | 3.43 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[22].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[22].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 13 | 48 | 3.69 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[0].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[0].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 12 | 48 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[35].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[35].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 13 | 48 | 3.69 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[12].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[12].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 13 | 48 | 3.69 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[5].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[5].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 13 | 48 | 3.69 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[2].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[2].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 13 | 48 | 3.69 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[37].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[37].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 16 | 48 | 3.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[24].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[24].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 14 | 48 | 3.43 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[9].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[9].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 11 | 48 | 4.36 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[21].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[21].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 13 | 48 | 3.69 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[47].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[47].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 12 | 48 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[33].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[33].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 11 | 48 | 4.36 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[41].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[41].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 14 | 48 | 3.43 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[20].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[20].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 14 | 48 | 3.43 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[25].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[25].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 14 | 48 | 3.43 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[17].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[17].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 13 | 48 | 3.69 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[6].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[6].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 11 | 48 | 4.36 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[4].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[4].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 11 | 48 | 4.36 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[40].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[40].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 12 | 48 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | SFP_GEN[36].ngCCM_gbt/RX_Word_rx400 | SFP_GEN[36].ngCCM_gbt/Sync_RX_Reset/sync_m[3] | 13 | 48 | 3.69 | | clk62_5 | | | 11 | 50 | 4.55 | | tx_wordclk | TX_CLKEN_repN_55 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/AR[0] | 21 | 51 | 2.43 | | CLK | ipb/trans/iface/rxf0 | | 25 | 53 | 2.12 | | tx_wordclk | TX_CLKEN_repN_34 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/AR[0] | 19 | 53 | 2.79 | | CLK | SFP_GEN[0].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1_n_0 | ipb_rst_BUFG | 16 | 55 | 3.44 | | CLK | SFP_GEN[12].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__11_n_0 | ipb_rst_BUFG | 17 | 55 | 3.24 | | CLK | SFP_GEN[13].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__12_n_0 | ipb_rst_BUFG | 15 | 55 | 3.67 | | CLK | SFP_GEN[10].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__9_n_0 | ipb_rst_BUFG | 15 | 55 | 3.67 | | CLK | SFP_GEN[22].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__21_n_0 | ipb_rst_BUFG | 15 | 55 | 3.67 | | CLK | SFP_GEN[33].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__32_n_0 | ipb_rst_BUFG | 12 | 55 | 4.58 | | CLK | SFP_GEN[30].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__29_n_0 | ipb_rst_BUFG | 16 | 55 | 3.44 | | CLK | SFP_GEN[15].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__14_n_0 | ipb_rst_BUFG | 16 | 55 | 3.44 | | CLK | SFP_GEN[34].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__33_n_0 | ipb_rst_BUFG | 20 | 55 | 2.75 | | CLK | SFP_GEN[40].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__39_n_0 | ipb_rst_BUFG | 15 | 55 | 3.67 | | CLK | SFP_GEN[41].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__40_n_0 | ipb_rst_BUFG | 17 | 55 | 3.24 | | CLK | SFP_GEN[7].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__6_n_0 | ipb_rst_BUFG | 14 | 55 | 3.93 | | CLK | SFP_GEN[39].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__38_n_0 | ipb_rst_BUFG | 15 | 55 | 3.67 | | CLK | SFP_GEN[8].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__7_n_0 | ipb_rst_BUFG | 16 | 55 | 3.44 | | CLK | SFP_GEN[1].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__0_n_0 | ipb_rst_BUFG | 15 | 55 | 3.67 | | CLK | SFP_GEN[3].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__2_n_0 | ipb_rst_BUFG | 16 | 55 | 3.44 | | CLK | SFP_GEN[46].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__45_n_0 | ipb_rst_BUFG | 15 | 55 | 3.67 | | CLK | SFP_GEN[17].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__16_n_0 | ipb_rst_BUFG | 16 | 55 | 3.44 | | CLK | SFP_GEN[21].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__20_n_0 | ipb_rst_BUFG | 15 | 55 | 3.67 | | CLK | SFP_GEN[4].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__3_n_0 | ipb_rst_BUFG | 11 | 55 | 5.00 | | CLK | SFP_GEN[42].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__41_n_0 | ipb_rst_BUFG | 13 | 55 | 4.23 | | CLK | SFP_GEN[23].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__22_n_0 | ipb_rst_BUFG | 14 | 55 | 3.93 | | CLK | SFP_GEN[2].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__1_n_0 | ipb_rst_BUFG | 16 | 55 | 3.44 | | CLK | SFP_GEN[16].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__15_n_0 | ipb_rst_BUFG | 16 | 55 | 3.44 | | CLK | SFP_GEN[19].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__18_n_0 | ipb_rst_BUFG | 15 | 55 | 3.67 | | CLK | SFP_GEN[5].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__4_n_0 | ipb_rst_BUFG | 15 | 55 | 3.67 | | CLK | SFP_GEN[28].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__27_n_0 | ipb_rst_BUFG | 15 | 55 | 3.67 | | CLK | SFP_GEN[18].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__17_n_0 | ipb_rst_BUFG | 15 | 55 | 3.67 | | CLK | SFP_GEN[6].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__5_n_0 | ipb_rst_BUFG | 18 | 55 | 3.06 | | tx_wordclk | TX_CLKEN_repN_20 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/gbt_txreset_s[0] | 18 | 55 | 3.06 | | CLK | SFP_GEN[36].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__35_n_0 | ipb_rst_BUFG | 15 | 55 | 3.67 | | CLK | SFP_GEN[25].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__24_n_0 | ipb_rst_BUFG | 14 | 55 | 3.93 | | CLK | SFP_GEN[43].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__42_n_0 | ipb_rst_BUFG | 14 | 55 | 3.93 | | CLK | SFP_GEN[9].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__8_n_0 | ipb_rst_BUFG | 14 | 55 | 3.93 | | CLK | SFP_GEN[31].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__30_n_0 | ipb_rst_BUFG | 14 | 55 | 3.93 | | CLK | SFP_GEN[32].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__31_n_0 | ipb_rst_BUFG | 12 | 55 | 4.58 | | CLK | SFP_GEN[47].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__46_n_0 | ipb_rst_BUFG | 16 | 55 | 3.44 | | CLK | SFP_GEN[27].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__26_n_0 | ipb_rst_BUFG | 17 | 55 | 3.24 | | CLK | SFP_GEN[38].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__37_n_0 | ipb_rst_BUFG | 16 | 55 | 3.44 | | CLK | SFP_GEN[35].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__34_n_0 | ipb_rst_BUFG | 15 | 55 | 3.67 | | CLK | SFP_GEN[45].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__44_n_0 | ipb_rst_BUFG | 17 | 55 | 3.24 | | CLK | SFP_GEN[24].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__23_n_0 | ipb_rst_BUFG | 15 | 55 | 3.67 | | CLK | SFP_GEN[14].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__13_n_0 | ipb_rst_BUFG | 17 | 55 | 3.24 | | CLK | SFP_GEN[37].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__36_n_0 | ipb_rst_BUFG | 14 | 55 | 3.93 | | CLK | SFP_GEN[44].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__43_n_0 | ipb_rst_BUFG | 12 | 55 | 4.58 | | CLK | SFP_GEN[20].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__19_n_0 | ipb_rst_BUFG | 16 | 55 | 3.44 | | CLK | SFP_GEN[11].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__10_n_0 | ipb_rst_BUFG | 17 | 55 | 3.24 | | CLK | SFP_GEN[29].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__28_n_0 | ipb_rst_BUFG | 16 | 55 | 3.44 | | CLK | SFP_GEN[26].ngFEC_module/buffer_ngccm_jtag/w_address[11]_i_1__25_n_0 | ipb_rst_BUFG | 14 | 55 | 3.93 | | tx_wordclk | TX_CLKEN_repN_46 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbt_txreset_s[0] | 21 | 59 | 2.81 | | tx_wordclk | TX_CLKEN_repN_50 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/gbt_txreset_s[0] | 20 | 59 | 2.95 | | tx_wordclk | TX_CLKEN_repN_54 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/gbt_txreset_s[0] | 20 | 59 | 2.95 | | tx_wordclk | TX_CLKEN_repN_61 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/gbt_txreset_s[0] | 18 | 62 | 3.44 | | CLKFBIN | ipb/udp_if/rx_reset_block/ip_pkt.pkt_mask_reg[33] | ipb/udp_if/rx_reset_block/SR[0] | 12 | 66 | 5.50 | | CLKFBIN | ipb/udp_if/rx_reset_block/rarp.pkt_mask_reg[37] | ipb/udp_if/rx_reset_block/SR[0] | 16 | 72 | 4.50 | | tx_wordclk | TX_CLKEN_repN_8 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/gbt_txreset_s[0] | 23 | 72 | 3.13 | | clk250 | stat_regs_inst/wea_repN_1 | | 24 | 75 | 3.13 | | tx_wordclk | TX_CLKEN_repN_47 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbt_txreset_s[0] | 25 | 75 | 3.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[5][31]_0[14] | 17 | 76 | 4.47 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[8].gbt_rxgearbox_inst/RX_DATA_O0 | | 19 | 76 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/DONE_o_reg_0[0] | ctrl_regs_inst/regs_reg[6][15]_0[4] | 20 | 76 | 3.80 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[6].gbt_rxgearbox_inst/RX_DATA_O0 | | 21 | 76 | 3.62 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[6][15]_0[10] | 18 | 76 | 4.22 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[5][31]_0[31] | 15 | 76 | 5.07 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[5][31]_0[10] | 12 | 76 | 6.33 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/RX_DATA_O0 | | 19 | 76 | 4.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[5].gbt_rxgearbox_inst/RX_DATA_O0 | | 19 | 76 | 4.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[7].gbt_rxgearbox_inst/RX_DATA_O0 | | 13 | 76 | 5.85 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[5][31]_0[29] | 17 | 76 | 4.47 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[7].gbt_rxgearbox_inst/RX_DATA_O0 | | 17 | 76 | 4.47 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[5][31]_0[17] | 17 | 76 | 4.47 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[10].gbt_rxgearbox_inst/RX_DATA_O0 | | 17 | 76 | 4.47 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[5][31]_0[13] | 15 | 76 | 5.07 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[9].gbt_rxgearbox_inst/RX_DATA_O0 | | 12 | 76 | 6.33 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[6][15]_0[0] | 23 | 76 | 3.30 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/RX_DATA_O0 | | 17 | 76 | 4.47 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[11].gbt_rxgearbox_inst/RX_DATA_O0 | | 13 | 76 | 5.85 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[8].gbt_rxgearbox_inst/RX_DATA_O0 | | 13 | 76 | 5.85 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[6][15]_0[5] | 18 | 76 | 4.22 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[6][15]_0[12] | 16 | 76 | 4.75 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[5].gbt_rxgearbox_inst/RX_DATA_O0 | | 12 | 76 | 6.33 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[6][15]_0[3] | 15 | 76 | 5.07 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[7].gbt_rxgearbox_inst/RX_DATA_O0 | | 16 | 76 | 4.75 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[5][31]_0[7] | 19 | 76 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[6][15]_0[1] | 13 | 76 | 5.85 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[6].gbt_rxgearbox_inst/RX_DATA_O0 | | 12 | 76 | 6.33 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[5][31]_0[8] | 16 | 76 | 4.75 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[9].gbt_rxgearbox_inst/RX_DATA_O0 | | 21 | 76 | 3.62 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[6][15]_0[13] | 15 | 76 | 5.07 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[5][31]_0[18] | 15 | 76 | 5.07 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/DONE_o_reg_0[0] | ctrl_regs_inst/regs_reg[5][31]_0[12] | 18 | 76 | 4.22 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[5][31]_0[28] | 18 | 76 | 4.22 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[4].gbt_rxgearbox_inst/RX_DATA_O0 | | 12 | 76 | 6.33 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[10].gbt_rxgearbox_inst/RX_DATA_O0 | | 15 | 76 | 5.07 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[6][15]_0[14] | 15 | 76 | 5.07 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/RX_DATA_O0 | | 23 | 76 | 3.30 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[7].gbt_rxgearbox_inst/RX_DATA_O0 | | 23 | 76 | 3.30 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[5][31]_0[19] | 17 | 76 | 4.47 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/RX_DATA_O0 | | 18 | 76 | 4.22 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[5][31]_0[1] | 18 | 76 | 4.22 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[8].gbt_rxgearbox_inst/RX_DATA_O0 | | 18 | 76 | 4.22 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[5][31]_0[11] | 13 | 76 | 5.85 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[5][31]_0[20] | 17 | 76 | 4.47 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[11].gbt_rxgearbox_inst/RX_DATA_O0 | | 11 | 76 | 6.91 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[9].gbt_rxgearbox_inst/RX_DATA_O0 | | 18 | 76 | 4.22 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[5][31]_0[21] | 21 | 76 | 3.62 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[5][31]_0[4] | 17 | 76 | 4.47 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[10].gbt_rxgearbox_inst/RX_DATA_O0 | | 16 | 76 | 4.75 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[5][31]_0[22] | 14 | 76 | 5.43 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[4].gbt_rxgearbox_inst/RX_DATA_O0 | | 16 | 76 | 4.75 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[11].gbt_rxgearbox_inst/RX_DATA_O0 | | 17 | 76 | 4.47 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[5][31]_0[23] | 17 | 76 | 4.47 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[0].gbt_rxgearbox_inst/RX_DATA_O0 | | 16 | 76 | 4.75 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/DONE_o_reg_0[0] | ctrl_regs_inst/regs_reg[5][31]_0[24] | 15 | 76 | 5.07 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[5][31]_0[5] | 18 | 76 | 4.22 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[5].gbt_rxgearbox_inst/RX_DATA_O0 | | 16 | 76 | 4.75 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/RX_DATA_O0 | | 17 | 76 | 4.47 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[5][31]_0[25] | 16 | 76 | 4.75 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/RX_DATA_O0 | | 17 | 76 | 4.47 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[5][31]_0[26] | 15 | 76 | 5.07 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[5][31]_0[2] | 22 | 76 | 3.45 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[5][31]_0[6] | 15 | 76 | 5.07 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/RX_DATA_O0 | | 19 | 76 | 4.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/RX_DATA_O0 | | 15 | 76 | 5.07 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[5][31]_0[27] | 14 | 76 | 5.43 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[6].gbt_rxgearbox_inst/RX_DATA_O0 | | 18 | 76 | 4.22 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[6][15]_0[6] | 22 | 76 | 3.45 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[5][31]_0[9] | 19 | 76 | 4.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/RX_DATA_O0 | | 19 | 76 | 4.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/RX_DATA_O0 | | 18 | 76 | 4.22 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[9].gbt_rxgearbox_inst/RX_DATA_O0 | | 17 | 76 | 4.47 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[5][31]_0[15] | 13 | 76 | 5.85 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/RX_DATA_O0 | | 16 | 76 | 4.75 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[11].gbt_rxgearbox_inst/RX_DATA_O0 | | 14 | 76 | 5.43 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[6][15]_0[7] | 20 | 76 | 3.80 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[6][15]_0[15] | 20 | 76 | 3.80 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[6][15]_0[11] | 18 | 76 | 4.22 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[5][31]_0[3] | 21 | 76 | 3.62 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[4].gbt_rxgearbox_inst/RX_DATA_O0 | | 17 | 76 | 4.47 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[0].gbt_rxgearbox_inst/RX_DATA_O0 | | 20 | 76 | 3.80 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[10].gbt_rxgearbox_inst/RX_DATA_O0 | | 15 | 76 | 5.07 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[6][15]_0[8] | 20 | 76 | 3.80 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[0].gbt_rxgearbox_inst/RX_DATA_O0 | | 17 | 76 | 4.47 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[4].gbt_rxgearbox_inst/RX_DATA_O0 | | 17 | 76 | 4.47 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/DONE_o_reg_0[0] | ctrl_regs_inst/regs_reg[5][31]_0[0] | 25 | 76 | 3.04 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[5][31]_0[16] | 15 | 76 | 5.07 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/RX_DATA_O0 | | 19 | 76 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[5].gbt_rxgearbox_inst/RX_DATA_O0 | | 21 | 76 | 3.62 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[6][15]_0[2] | 21 | 76 | 3.62 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[5][31]_0[30] | 22 | 76 | 3.45 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/DONE_o_reg_1[0] | ctrl_regs_inst/regs_reg[6][15]_0[9] | 16 | 76 | 4.75 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[6].gbt_rxgearbox_inst/RX_DATA_O0 | | 19 | 76 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[0].gbt_rxgearbox_inst/RX_DATA_O0 | | 18 | 76 | 4.22 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[8].gbt_rxgearbox_inst/RX_DATA_O0 | | 17 | 76 | 4.47 | | CLKFBIN | eth/mac/i_mac/emacclientrxdvld_reg_0 | ipb/udp_if/rx_reset_block/SR[0] | 18 | 78 | 4.33 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[8] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[8].gbt_rxgearbox_inst/READY_O_reg_0 | 19 | 80 | 4.21 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[6] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[6].gbt_rxgearbox_inst/READY_O_reg_0 | 24 | 80 | 3.33 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[7].rx_clken_sr_reg[7][3]_0[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[7].gbt_rxgearbox_inst/READY_O_reg_0 | 27 | 80 | 2.96 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[1].rx_clken_sr_reg[1][3]_0[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/RX_DECODER_READY_I | 24 | 80 | 3.33 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[6].rx_clken_sr_reg[6][3]_0[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[6].gbt_rxgearbox_inst/READY_O_reg_0 | 23 | 80 | 3.48 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[1].rx_clken_sr_reg[1][3]_0[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/RX_DECODER_READY_I | 21 | 80 | 3.81 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[10].rx_clken_sr_reg[10][3]_0[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[10].gbt_rxgearbox_inst/READY_O_reg_0 | 21 | 80 | 3.81 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[1] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/RX_DECODER_READY_I | 25 | 80 | 3.20 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[4].rx_clken_sr_reg[4][3]_0[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[4].gbt_rxgearbox_inst/READY_O_reg_0 | 23 | 80 | 3.48 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[8].rx_clken_sr_reg[8][3]_0[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[8].gbt_rxgearbox_inst/READY_O_reg_0 | 18 | 80 | 4.44 | | tx_wordclk | TX_CLKEN_repN_51 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbt_txreset_s[0] | 24 | 80 | 3.33 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[0].rx_clken_sr_reg[0][3]_0[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[0].gbt_rxgearbox_inst/READY_O_reg_0 | 23 | 80 | 3.48 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[8].rx_clken_sr_reg[8][3]_0[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[8].gbt_rxgearbox_inst/READY_O_reg_0 | 17 | 80 | 4.71 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[2].rx_clken_sr_reg[2][3]_0[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/READY_O_reg_0 | 23 | 80 | 3.48 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[5].rx_clken_sr_reg[5][3]_0[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[5].gbt_rxgearbox_inst/READY_O_reg_0 | 19 | 80 | 4.21 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[7].rx_clken_sr_reg[7][3]_0[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[7].gbt_rxgearbox_inst/READY_O_reg_0 | 20 | 80 | 4.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[3] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/READY_O_reg_0 | 23 | 80 | 3.48 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[8].rx_clken_sr_reg[8][3]_0[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[8].gbt_rxgearbox_inst/READY_O_reg_0 | 20 | 80 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[3].rx_clken_sr_reg[3][3]_0[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/READY_O_reg_0 | 22 | 80 | 3.64 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[2].rx_clken_sr_reg[2][3]_0[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/READY_O_reg_0 | 23 | 80 | 3.48 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[4] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[4].gbt_rxgearbox_inst/READY_O_reg_0 | 22 | 80 | 3.64 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[11].rx_clken_sr_reg[11][3]_0[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[11].gbt_rxgearbox_inst/READY_O_reg_0 | 19 | 80 | 4.21 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[9].rx_clken_sr_reg[9][3]_0[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[9].gbt_rxgearbox_inst/READY_O_reg_0 | 23 | 80 | 3.48 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[11].rx_clken_sr_reg[11][3]_0[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[11].gbt_rxgearbox_inst/READY_O_reg_0 | 20 | 80 | 4.00 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[6].rx_clken_sr_reg[6][3]_0[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[6].gbt_rxgearbox_inst/READY_O_reg_0 | 23 | 80 | 3.48 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[2] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/READY_O_reg_0 | 22 | 80 | 3.64 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[3].rx_clken_sr_reg[3][3]_0[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/READY_O_reg_0 | 21 | 80 | 3.81 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[0].rx_clken_sr_reg[0][3]_0[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[0].gbt_rxgearbox_inst/READY_O_reg_0 | 19 | 80 | 4.21 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[10].rx_clken_sr_reg[10][3]_0[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[10].gbt_rxgearbox_inst/READY_O_reg_0 | 19 | 80 | 4.21 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[4].rx_clken_sr_reg[4][3]_0[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[4].gbt_rxgearbox_inst/READY_O_reg_0 | 23 | 80 | 3.48 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[10].rx_clken_sr_reg[10][3]_0[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[10].gbt_rxgearbox_inst/READY_O_reg_0 | 21 | 80 | 3.81 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[5] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[5].gbt_rxgearbox_inst/READY_O_reg_0 | 16 | 80 | 5.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[1].rx_clken_sr_reg[1][3]_0[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/RX_DECODER_READY_I | 16 | 80 | 5.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[9].rx_clken_sr_reg[9][3]_0[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[9].gbt_rxgearbox_inst/READY_O_reg_0 | 16 | 80 | 5.00 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[0].gbt_rxgearbox_inst/READY_O_reg_0 | 21 | 80 | 3.81 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[9].rx_clken_sr_reg[9][3]_0[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[9].gbt_rxgearbox_inst/READY_O_reg_0 | 23 | 80 | 3.48 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[7] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[7].gbt_rxgearbox_inst/READY_O_reg_0 | 18 | 80 | 4.44 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[6].rx_clken_sr_reg[6][3]_0[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[6].gbt_rxgearbox_inst/READY_O_reg_0 | 16 | 80 | 5.00 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[7].rx_clken_sr_reg[7][3]_0[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[7].gbt_rxgearbox_inst/READY_O_reg_0 | 21 | 80 | 3.81 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[11] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[11].gbt_rxgearbox_inst/READY_O_reg_0 | 15 | 80 | 5.33 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[10] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[10].gbt_rxgearbox_inst/READY_O_reg_0 | 19 | 80 | 4.21 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[2].rx_clken_sr_reg[2][3]_0[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/READY_O_reg_0 | 24 | 80 | 3.33 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[3].rx_clken_sr_reg[3][3]_0[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/READY_O_reg_0 | 15 | 80 | 5.33 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[4].rx_clken_sr_reg[4][3]_0[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[4].gbt_rxgearbox_inst/READY_O_reg_0 | 16 | 80 | 5.00 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[5].rx_clken_sr_reg[5][3]_0[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[5].gbt_rxgearbox_inst/READY_O_reg_0 | 21 | 80 | 3.81 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/RX_CLKEN_O[9] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[9].gbt_rxgearbox_inst/READY_O_reg_0 | 18 | 80 | 4.44 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/gbtBank_Clk_gen[0].rx_clken_sr_reg[0][3]_0[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[0].gbt_rxgearbox_inst/READY_O_reg_0 | 21 | 80 | 3.81 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/gbtBank_Clk_gen[11].rx_clken_sr_reg[11][3]_0[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[11].gbt_rxgearbox_inst/READY_O_reg_0 | 20 | 80 | 4.00 | | tx_wordclk | TX_CLKEN_repN_50 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/gbt_txreset_s[0] | 29 | 80 | 2.76 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/gbtBank_Clk_gen[5].rx_clken_sr_reg[5][3]_0[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[5].gbt_rxgearbox_inst/READY_O_reg_0 | 25 | 80 | 3.20 | | tx_wordclk | TX_CLKEN_repN_59 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/gbt_txreset_s[0] | 32 | 82 | 2.56 | | tx_wordclk | TX_CLKEN_repN_15 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/gbt_txreset_s[0] | 35 | 84 | 2.40 | | tx_wordclk | TX_CLKEN_repN_50 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/AR[0] | 26 | 87 | 3.35 | | tx_wordclk | TX_CLKEN_repN_58 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbt_txreset_s[0] | 30 | 87 | 2.90 | | tx_wordclk | TX_CLKEN_repN_7 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/gbt_txreset_s[0] | 32 | 87 | 2.72 | | tx_wordclk | TX_CLKEN_repN_14 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/gbt_txreset_s[0] | 33 | 89 | 2.70 | | CLKFBIN | | rst_clk125 | 25 | 90 | 3.60 | | clk250 | g_clock_rate_din[16].i_rate_ngccm_status0/E[0] | | 31 | 96 | 3.10 | | clk250 | g_clock_rate_din[17].i_rate_ngccm_status0/E[0] | | 34 | 96 | 2.82 | | clk250 | g_clock_rate_din[18].i_rate_ngccm_status0/E[0] | | 37 | 96 | 2.59 | | clk250 | g_clock_rate_din[1].i_rate_ngccm_status0/E[0] | | 42 | 96 | 2.29 | | clk250 | g_clock_rate_din[19].i_rate_ngccm_status0/E[0] | | 32 | 96 | 3.00 | | clk250 | g_clock_rate_din[44].i_rate_ngccm_status0/E[0] | | 36 | 96 | 2.67 | | clk250 | g_clock_rate_din[45].i_rate_ngccm_status0/E[0] | | 31 | 96 | 3.10 | | clk250 | g_clock_rate_din[20].i_rate_ngccm_status0/E[0] | | 31 | 96 | 3.10 | | clk250 | g_clock_rate_din[21].i_rate_ngccm_status0/E[0] | | 29 | 96 | 3.31 | | clk250 | g_clock_rate_din[22].i_rate_ngccm_status0/E[0] | | 28 | 96 | 3.43 | | clk250 | g_clock_rate_din[23].i_rate_ngccm_status0/E[0] | | 38 | 96 | 2.53 | | clk250 | g_clock_rate_din[24].i_rate_ngccm_status0/E[0] | | 37 | 96 | 2.59 | | clk250 | g_clock_rate_din[25].i_rate_ngccm_status0/E[0] | | 38 | 96 | 2.53 | | clk250 | g_clock_rate_din[26].i_rate_ngccm_status0/E[0] | | 29 | 96 | 3.31 | | clk250 | g_clock_rate_din[27].i_rate_ngccm_status0/E[0] | | 25 | 96 | 3.84 | | clk250 | g_clock_rate_din[28].i_rate_ngccm_status0/E[0] | | 32 | 96 | 3.00 | | clk250 | g_clock_rate_din[2].i_rate_ngccm_status0/E[0] | | 31 | 96 | 3.10 | | clk250 | g_clock_rate_din[29].i_rate_ngccm_status0/E[0] | | 33 | 96 | 2.91 | | clk250 | g_clock_rate_din[30].i_rate_ngccm_status0/E[0] | | 34 | 96 | 2.82 | | clk250 | g_clock_rate_din[31].i_rate_ngccm_status0/E[0] | | 38 | 96 | 2.53 | | clk250 | g_clock_rate_din[32].i_rate_ngccm_status0/E[0] | | 42 | 96 | 2.29 | | clk250 | g_clock_rate_din[33].i_rate_ngccm_status0/E[0] | | 40 | 96 | 2.40 | | clk250 | g_clock_rate_din[34].i_rate_ngccm_status0/E[0] | | 37 | 96 | 2.59 | | clk250 | g_clock_rate_din[38].i_rate_ngccm_status0/E[0] | | 37 | 96 | 2.59 | | clk250 | g_clock_rate_din[35].i_rate_ngccm_status0/E[0] | | 39 | 96 | 2.46 | | clk250 | g_clock_rate_din[36].i_rate_ngccm_status0/E[0] | | 41 | 96 | 2.34 | | clk250 | g_clock_rate_din[37].i_rate_ngccm_status0/E[0] | | 37 | 96 | 2.59 | | clk250 | g_clock_rate_din[3].i_rate_ngccm_status0/E[0] | | 35 | 96 | 2.74 | | clk250 | g_clock_rate_din[39].i_rate_ngccm_status0/E[0] | | 32 | 96 | 3.00 | | clk250 | g_clock_rate_din[40].i_rate_ngccm_status0/E[0] | | 34 | 96 | 2.82 | | clk250 | g_clock_rate_din[47].i_rate_ngccm_status0/E[0] | | 27 | 96 | 3.56 | | clk250 | g_clock_rate_din[0].i_rate_ngccm_status0/E[0] | | 40 | 96 | 2.40 | | clk250 | g_clock_rate_din[9].i_rate_ngccm_status0/E[0] | | 34 | 96 | 2.82 | | clk250 | g_clock_rate_din[8].i_rate_ngccm_status0/E[0] | | 37 | 96 | 2.59 | | clk250 | g_clock_rate_din[7].i_rate_ngccm_status0/E[0] | | 31 | 96 | 3.10 | | clk250 | g_clock_rate_din[6].i_rate_ngccm_status0/E[0] | | 40 | 96 | 2.40 | | clk250 | g_clock_rate_din[5].i_rate_ngccm_status0/E[0] | | 40 | 96 | 2.40 | | clk250 | g_clock_rate_din[11].i_rate_ngccm_status0/E[0] | | 39 | 96 | 2.46 | | clk250 | g_clock_rate_din[4].i_rate_ngccm_status0/E[0] | | 33 | 96 | 2.91 | | clk250 | g_clock_rate_din[46].i_rate_ngccm_status0/E[0] | | 29 | 96 | 3.31 | | clk250 | g_clock_rate_din[12].i_rate_ngccm_status0/E[0] | | 29 | 96 | 3.31 | | clk250 | g_clock_rate_din[42].i_rate_ngccm_status0/E[0] | | 33 | 96 | 2.91 | | clk250 | g_clock_rate_din[13].i_rate_ngccm_status0/E[0] | | 34 | 96 | 2.82 | | clk250 | g_clock_rate_din[41].i_rate_ngccm_status0/E[0] | | 30 | 96 | 3.20 | | clk250 | g_clock_rate_din[43].i_rate_ngccm_status0/E[0] | | 35 | 96 | 2.74 | | tx_wordclk | TX_CLKEN_repN_33 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/gbt_txreset_s[0] | 32 | 99 | 3.09 | | tx_wordclk | TX_CLKEN_repN_43 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/gbt_txreset_s[0] | 35 | 101 | 2.89 | | tx_wordclk | TX_CLKEN_repN_41 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/gbt_txreset_s[0] | 33 | 102 | 3.09 | | tx_wordclk | TX_CLKEN_repN_46 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbt_txreset_s[0] | 39 | 103 | 2.64 | | tx_wordclk | TX_CLKEN_repN_39 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/gbt_txreset_s[0] | 38 | 104 | 2.74 | | tx_wordclk | TX_CLKEN_repN_57 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbt_txreset_s[0] | 31 | 106 | 3.42 | | tx_wordclk | TX_CLKEN_repN_23 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/gbt_txreset_s[0] | 39 | 114 | 2.92 | | tx_wordclk | TX_CLKEN_repN_3 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/gbt_txreset_s[0] | 40 | 116 | 2.90 | | tx_wordclk | TX_CLKEN_repN_54 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbt_txreset_s[0] | 33 | 117 | 3.55 | | tx_wordclk | TX_CLKEN_repN_8 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/gbt_txreset_s[0] | 36 | 119 | 3.31 | | CLKFBIN | ipb/udp_if/status_buffer/history[7]_i_1_n_0 | ipb/udp_if/clock_crossing_if/async_event_reg | 27 | 120 | 4.44 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[8].gbt_rxgearbox_inst/reg1[119]_i_1__29_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/AR[0] | 28 | 121 | 4.32 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[0].gbt_rxgearbox_inst/reg1[119]_i_1__25_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/AR[0] | 30 | 121 | 4.03 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[4].gbt_rxgearbox_inst/reg1[119]_i_1__21_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/AR[0] | 24 | 121 | 5.04 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/reg1[119]_i_1__46_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/AR[0] | 29 | 121 | 4.17 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/reg1[119]_i_1__35_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/AR[0] | 29 | 121 | 4.17 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[11].gbt_rxgearbox_inst/reg1[119]_i_1__14_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/AR[0] | 29 | 121 | 4.17 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[9].gbt_rxgearbox_inst/reg1[119]_i_1__28_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/AR[0] | 31 | 121 | 3.90 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[4].gbt_rxgearbox_inst/reg1[119]_i_1__9_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/AR[0] | 23 | 121 | 5.26 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[8].gbt_rxgearbox_inst/reg1[119]_i_1__41_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/AR[0] | 32 | 121 | 3.78 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[10].gbt_rxgearbox_inst/reg1[119]_i_1__15_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/AR[0] | 26 | 121 | 4.65 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[7].gbt_rxgearbox_inst/reg1[119]_i_1__6_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/AR[0] | 27 | 121 | 4.48 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[9].gbt_rxgearbox_inst/reg1[119]_i_1__16_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/AR[0] | 29 | 121 | 4.17 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[11].gbt_rxgearbox_inst/reg1[119]_i_1__26_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/AR[0] | 25 | 121 | 4.84 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[11].gbt_rxgearbox_inst/reg1[119]_i_1__2_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/AR[0] | 30 | 121 | 4.03 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[8].gbt_rxgearbox_inst/reg1[119]_i_1__17_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/AR[0] | 33 | 121 | 3.67 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/reg1[119]_i_1__12_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/AR[0] | 27 | 121 | 4.48 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/reg1[119]_i_1_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/AR[0] | 26 | 121 | 4.65 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[6].gbt_rxgearbox_inst/reg1[119]_i_1__19_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 24 | 121 | 5.04 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[7].gbt_rxgearbox_inst/reg1[119]_i_1__18_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/AR[0] | 23 | 121 | 5.26 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[0].gbt_rxgearbox_inst/reg1[119]_i_1__13_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/AR[0] | 27 | 121 | 4.48 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[4].gbt_rxgearbox_inst/reg1[119]_i_1__45_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/AR[0] | 26 | 121 | 4.65 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[9].gbt_rxgearbox_inst/reg1[119]_i_1__4_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/AR[0] | 30 | 121 | 4.03 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/reg1[119]_i_1__22_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/AR[0] | 25 | 121 | 4.84 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[5].gbt_rxgearbox_inst/reg1[119]_i_1__44_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/AR[0] | 28 | 121 | 4.32 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[4].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[4].gbt_rxgearbox_inst/reg1[119]_i_1__33_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/AR[0] | 30 | 121 | 4.03 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[6].gbt_rxgearbox_inst/reg1[119]_i_1__31_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 26 | 121 | 4.65 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[0].gbt_rxgearbox_inst/reg1[119]_i_1__37_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/AR[0] | 29 | 121 | 4.17 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/reg1[119]_i_1__36_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/AR[0] | 28 | 121 | 4.32 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[10].gbt_rxgearbox_inst/reg1[119]_i_1__27_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/AR[0] | 27 | 121 | 4.48 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/reg1[119]_i_1__34_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/AR[0] | 31 | 121 | 3.90 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[9].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[9].gbt_rxgearbox_inst/reg1[119]_i_1__40_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/AR[0] | 25 | 121 | 4.84 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[6].gbt_rxgearbox_inst/reg1[119]_i_1__7_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 24 | 121 | 5.04 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[6].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[6].gbt_rxgearbox_inst/reg1[119]_i_1__43_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/gbtRxReset_s_reg_0[0] | 26 | 121 | 4.65 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/reg1[119]_i_1__0_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/AR[0] | 28 | 121 | 4.32 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[7].gbt_rxgearbox_inst/reg1[119]_i_1__30_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/AR[0] | 30 | 121 | 4.03 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[2].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[2].gbt_rxgearbox_inst/reg1[119]_i_1__24_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/AR[0] | 28 | 121 | 4.32 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[10].gbt_rxgearbox_inst/reg1[119]_i_1__39_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/AR[0] | 33 | 121 | 3.67 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/reg1[119]_i_1__11_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/AR[0] | 28 | 121 | 4.32 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[0].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[0].gbt_rxgearbox_inst/reg1[119]_i_1__1_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/AR[0] | 25 | 121 | 4.84 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[8].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[8].gbt_rxgearbox_inst/reg1[119]_i_1__5_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/AR[0] | 29 | 121 | 4.17 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[10].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[10].gbt_rxgearbox_inst/reg1[119]_i_1__3_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/AR[0] | 25 | 121 | 4.84 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[11].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[11].gbt_rxgearbox_inst/reg1[119]_i_1__38_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/AR[0] | 29 | 121 | 4.17 | | g_gbt_bank[1].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[1].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[5].gbt_rxgearbox_inst/reg1[119]_i_1__20_n_0 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/AR[0] | 26 | 121 | 4.65 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[5].gbt_rxgearbox_inst/reg1[119]_i_1__32_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/AR[0] | 32 | 121 | 3.78 | | g_gbt_bank[3].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[7].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[3].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[7].gbt_rxgearbox_inst/reg1[119]_i_1__42_n_0 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/AR[0] | 26 | 121 | 4.65 | | g_gbt_bank[2].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[1].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[2].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[1].gbt_rxgearbox_inst/reg1[119]_i_1__23_n_0 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/AR[0] | 25 | 121 | 4.84 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[5].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[5].gbt_rxgearbox_inst/reg1[119]_i_1__8_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/AR[0] | 26 | 121 | 4.65 | | g_gbt_bank[0].gbtbank/i_gbt_bank/mgt_inst/g_mgt_channel[3].i_mgt_ip_rx_buf/i_mgt_ip/inst/gen_gtwizard_gthe3_top.mgt_ip_gtwizard_gthe3_inst/gen_gtwizard_gthe3.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst/gtwiz_userclk_rx_usrclk2_out[0] | g_gbt_bank[0].gbtbank/i_gbt_bank/gbt_rxgearbox_multilink_gen[3].gbt_rxgearbox_inst/reg1[119]_i_1__10_n_0 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/AR[0] | 28 | 121 | 4.32 | | CLKFBIN | ipb/udp_if/tx_main/ipbus_out_valid | rst_clk125 | 30 | 128 | 4.27 | | CLKFBIN | ipb/udp_if/rx_transactor/pkt_rcvd_reg_1 | ipb/udp_if/rx_transactor/pkt_rcvd_reg_0 | 30 | 128 | 4.27 | | tx_wordclk | TX_CLKEN_repN_15 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/gbt_txreset_s[0] | 40 | 131 | 3.28 | | tx_wordclk | TX_CLKEN_repN_55 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/gbt_txreset_s[0] | 43 | 138 | 3.21 | | tx_wordclk | TX_CLKEN_repN_42 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/gbt_txreset_s[0] | 40 | 139 | 3.47 | | tx_wordclk | TX_CLKEN_repN_49 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/gbt_txreset_s[0] | 44 | 140 | 3.18 | | tx_wordclk | TX_CLKEN_repN_31 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbt_txreset_s[0] | 38 | 144 | 3.79 | | CLKFBIN | | ipb/udp_if/RARP_block/data_buffer0_out[39] | 28 | 147 | 5.25 | | CLK | ipb/trans/sm/addr | | 77 | 148 | 1.92 | | tx_wordclk | TX_CLKEN_repN_27 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[5].gbtBank_gbtBankRst/gbt_txreset_s[0] | 45 | 148 | 3.29 | | tx_wordclk | TX_CLKEN_repN_26 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/gbt_txreset_s[0] | 52 | 155 | 2.98 | | tx_wordclk | TX_CLKEN_repN_17 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbt_txreset_s[0] | 41 | 159 | 3.88 | | tx_wordclk | TX_CLKEN_repN_56 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[7].gbtBank_gbtBankRst/gbt_txreset_s[0] | 46 | 162 | 3.52 | | tx_wordclk | TX_CLKEN_repN | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbt_txreset_s[0] | 48 | 165 | 3.44 | | tx_wordclk | TX_CLKEN_repN_20 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbt_txreset_s[0] | 41 | 168 | 4.10 | | tx_wordclk | TX_CLKEN_repN_13 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/gbt_txreset_s[0] | 43 | 173 | 4.02 | | tx_wordclk | TX_CLKEN_repN_44 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/gbt_txreset_s[0] | 49 | 186 | 3.80 | | tx_wordclk | TX_CLKEN_repN_2 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbt_txreset_s[0] | 50 | 191 | 3.82 | | tx_wordclk | TX_CLKEN_repN_35 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbt_txreset_s[0] | 49 | 192 | 3.92 | | tx_wordclk | TX_CLKEN_repN_38 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/gbt_txreset_s[0] | 49 | 194 | 3.96 | | tx_wordclk | TX_CLKEN_repN_24 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[1].gbtBank_gbtBankRst/gbt_txreset_s[0] | 51 | 194 | 3.80 | | tx_wordclk | TX_CLKEN_repN_32 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbt_txreset_s[0] | 51 | 194 | 3.80 | | tx_wordclk | TX_CLKEN_repN_40 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/gbt_txreset_s[0] | 51 | 203 | 3.98 | | tx_wordclk | TX_CLKEN_repN_11 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbt_txreset_s[0] | 54 | 203 | 3.76 | | tx_wordclk | TX_CLKEN_repN_29 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/AR[0] | 50 | 203 | 4.06 | | tx_wordclk | TX_CLKEN_repN_30 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/gbt_txreset_s[0] | 57 | 203 | 3.56 | | tx_wordclk | TX_CLKEN | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbt_txreset_s[0] | 70 | 203 | 2.90 | | tx_wordclk | TX_CLKEN_repN_21 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/AR[0] | 52 | 203 | 3.90 | | tx_wordclk | TX_CLKEN_repN_36 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[11].gbtBank_gbtBankRst/gbt_txreset_s[0] | 53 | 203 | 3.83 | | tx_wordclk | TX_CLKEN_repN_18 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[4].gbtBank_gbtBankRst/gbt_txreset_s[0] | 53 | 203 | 3.83 | | tx_wordclk | TX_CLKEN_repN_48 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[9].gbtBank_gbtBankRst/gbt_txreset_s[0] | 50 | 203 | 4.06 | | tx_wordclk | TX_CLKEN_repN_16 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/gbt_txreset_s[0] | 55 | 203 | 3.69 | | tx_wordclk | TX_CLKEN_repN_22 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[2].gbtBank_gbtBankRst/gbt_txreset_s[0] | 48 | 203 | 4.23 | | tx_wordclk | TX_CLKEN_repN_4 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[6].gbtBank_gbtBankRst/AR[0] | 60 | 203 | 3.38 | | tx_wordclk | TX_CLKEN_repN_1 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/gbt_txreset_s[0] | 57 | 203 | 3.56 | | tx_wordclk | TX_CLKEN_repN_6 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/gbt_txreset_s[0] | 55 | 203 | 3.69 | | tx_wordclk | TX_CLKEN_repN_10 | g_gbt_bank[1].gbtbank/gbtBank_rst_gen[3].gbtBank_gbtBankRst/gbt_txreset_s[0] | 57 | 203 | 3.56 | | tx_wordclk | TX_CLKEN_repN_28 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/gbt_txreset_s[0] | 51 | 203 | 3.98 | | tx_wordclk | TX_CLKEN_repN_37 | g_gbt_bank[2].gbtbank/gbtBank_rst_gen[8].gbtBank_gbtBankRst/gbt_txreset_s[0] | 56 | 203 | 3.63 | | tx_wordclk | TX_CLKEN_repN_52 | g_gbt_bank[3].gbtbank/gbtBank_rst_gen[10].gbtBank_gbtBankRst/gbt_txreset_s[0] | 55 | 203 | 3.69 | | tx_wordclk | TX_CLKEN_repN_9 | g_gbt_bank[0].gbtbank/gbtBank_rst_gen[0].gbtBank_gbtBankRst/gbt_txreset_s[0] | 57 | 203 | 3.56 | | i_tcds2_if/i_mgt_wrapper/i_rxusrclk/bbstub_rxoutclk_out[0] | i_tcds2_if/cmp_lpgbtfpga_uplink/clkEnOut_s_reg_n_0 | | 84 | 234 | 2.79 | | i_tcds2_if/i_mgt_wrapper/i_txusrclk/bbstub_txoutclk_out[0] | i_tcds2_if/tx_strobe | i_tcds2_if/i_mgt_wrapper/i_reset_sm/reset_synchronizer_tx_done_inst/gtwiz_reset_tx_done_in[0] | 76 | 234 | 3.08 | | i_tcds2_if/fabric_clk_in | | ctrl_regs_inst/regs_reg[1][18]_0[1] | 41 | 234 | 5.71 | | i_tcds2_if/i_mgt_wrapper/i_txusrclk/bbstub_txoutclk_out[0] | i_tcds2_if/tx_strobe | ctrl_regs_inst/SR[0] | 72 | 234 | 3.25 | | i_tcds2_if/fabric_clk_in | | ctrl_regs_inst/prbschk_reset | 43 | 235 | 5.47 | | i_tcds2_if/i_mgt_wrapper/i_rxusrclk/bbstub_rxoutclk_out[0] | i_tcds2_if/cmp_lpgbtfpga_uplink/rxgearbox_10g_gen.rxGearbox_10g24_inst/clk_dataFlag_o | i_tcds2_if/cmp_lpgbtfpga_uplink/rxgearbox_10g_gen.rxGearbox_10g24_inst/sta_gbRdy_o | 86 | 254 | 2.95 | | i_tcds2_if/i_mgt_wrapper/i_rxusrclk/bbstub_rxoutclk_out[0] | i_tcds2_if/cmp_lpgbtfpga_uplink/rxgearbox_10g_gen.rxGearbox_10g24_inst/sta_gbRdy_s | i_tcds2_if/cmp_lpgbtfpga_uplink/rxgearbox_10g_gen.rxGearbox_10g24_inst/gbReset_s | 83 | 255 | 3.07 | | i_tcds2_if/i_mgt_wrapper/i_rxusrclk/bbstub_rxoutclk_out[0] | | i_tcds2_if/cmp_lpgbtfpga_uplink/rxgearbox_10g_gen.rxGearbox_10g24_inst/gbReset_outsynch_s | 90 | 256 | 2.84 | | i_tcds2_if/fabric_clk_in | | | 52 | 267 | 5.13 | | i_tcds2_if/i_mgt_wrapper/i_rxusrclk/bbstub_rxoutclk_out[0] | i_tcds2_if/cmp_lpgbtfpga_uplink/clkEnOut_s_reg_n_0 | i_tcds2_if/cmp_lpgbtfpga_uplink/rxgearbox_10g_gen.rxGearbox_10g24_inst/sta_gbRdy_o | 86 | 473 | 5.50 | | fabric_clk | | ctrl_regs_inst/regs_reg[2][15]_0[10] | 572 | 576 | 1.01 | | fabric_clk | | ctrl_regs_inst/regs_reg[2][15]_0[11] | 572 | 576 | 1.01 | | DRPclk | | | 175 | 720 | 4.11 | | fabric_clk | | ipb_rst_BUFG | 249 | 864 | 3.47 | | CLKFBIN | | | 275 | 963 | 3.50 | | fabric_clk | SFP_GEN[14].ngCCM_gbt/fabric_clk_div2_reg[0] | | 1131 | 1392 | 1.23 | | CLK | SFP_GEN[1].ngFEC_module/bram_array[0].buffer_server/E[0] | | 867 | 1410 | 1.63 | | tx_wordclk | | | 1113 | 4819 | 4.33 | | fabric_clk | fabric_clk_div2 | | 2079 | 5136 | 2.47 | | CLK | | ipb_rst_BUFG | 3818 | 5449 | 1.43 | | clk250 | | | 1531 | 6909 | 4.51 | | CLK | | | 3682 | 11866 | 3.22 | | fabric_clk | | | 11619 | 42535 | 3.66 | +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------------+----------------+--------------+