*** Running vivado with args -log gig_ethernet_pcs_pma_0.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source gig_ethernet_pcs_pma_0.tcl ****** Vivado v2020.2 (64-bit) **** SW Build 3064766 on Wed Nov 18 09:12:45 MST 2020 **** IP Build 3064653 on Wed Nov 18 14:17:31 MST 2020 ** Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. source gig_ethernet_pcs_pma_0.tcl -notrace Command: synth_design -top gig_ethernet_pcs_pma_0 -part xcku115-flva2104-1-c -mode out_of_context Starting synth_design Attempting to get a license for feature 'Synthesis' and/or device 'xcku115' INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xcku115' INFO: [Common 17-1540] The version limit for your license is '2021.01' and has expired for new software. A version limit expiration means that, although you may be able to continue to use the current version of tools or IP with this license, you will not be eligible for any updates or new releases. INFO: [Device 21-403] Loading part xcku115-flva2104-1-c INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 2 processes. INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes INFO: [Synth 8-7075] Helper process launched with PID 6500 --------------------------------------------------------------------------------- Starting RTL Elaboration : Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 1460.035 ; gain = 254.359 --------------------------------------------------------------------------------- INFO: [Synth 8-638] synthesizing module 'gig_ethernet_pcs_pma_0' [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0.vhd:155] Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer INFO: [Synth 8-3491] module 'gig_ethernet_pcs_pma_0_block' declared at 'd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_block.vhd:96' bound to instance 'U0' of component 'gig_ethernet_pcs_pma_0_block' [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0.vhd:223] INFO: [Synth 8-638] synthesizing module 'gig_ethernet_pcs_pma_0_block' [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_block.vhd:153] Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer WARNING: [Synth 8-5640] Port 's_axi_aclk' is missing in component declaration [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_block.vhd:256] WARNING: [Synth 8-5640] Port 's_axi_resetn' is missing in component declaration [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_block.vhd:256] WARNING: [Synth 8-5640] Port 's_axi_awaddr' is missing in component declaration [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_block.vhd:256] WARNING: [Synth 8-5640] Port 's_axi_awvalid' is missing in component declaration [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_block.vhd:256] WARNING: [Synth 8-5640] Port 's_axi_awready' is missing in component declaration [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_block.vhd:256] WARNING: [Synth 8-5640] Port 's_axi_wdata' is missing in component declaration [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_block.vhd:256] WARNING: [Synth 8-5640] Port 's_axi_wvalid' is missing in component declaration [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_block.vhd:256] WARNING: [Synth 8-5640] Port 's_axi_wready' is missing in component declaration [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_block.vhd:256] WARNING: [Synth 8-5640] Port 's_axi_bresp' is missing in component declaration [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_block.vhd:256] WARNING: [Synth 8-5640] Port 's_axi_bvalid' is missing in component declaration [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_block.vhd:256] WARNING: [Synth 8-5640] Port 's_axi_bready' is missing in component declaration [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_block.vhd:256] WARNING: [Synth 8-5640] Port 's_axi_araddr' is missing in component declaration [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_block.vhd:256] WARNING: [Synth 8-5640] Port 's_axi_arvalid' is missing in component declaration [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_block.vhd:256] WARNING: [Synth 8-5640] Port 's_axi_arready' is missing in component declaration [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_block.vhd:256] WARNING: [Synth 8-5640] Port 's_axi_rdata' is missing in component declaration [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_block.vhd:256] WARNING: [Synth 8-5640] Port 's_axi_rresp' is missing in component declaration [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_block.vhd:256] WARNING: [Synth 8-5640] Port 's_axi_rvalid' is missing in component declaration [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_block.vhd:256] WARNING: [Synth 8-5640] Port 's_axi_rready' is missing in component declaration [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_block.vhd:256] Parameter C_ELABORATION_TRANSIENT_DIR bound to: BlankString - type: string Parameter C_COMPONENT_NAME bound to: gig_ethernet_pcs_pma_0 - type: string Parameter C_RX_GMII_CLK bound to: TXOUTCLK - type: string Parameter C_FAMILY bound to: kintexu - type: string Parameter C_IS_SGMII bound to: 0 - type: bool Parameter C_USE_TRANSCEIVER bound to: 1 - type: bool Parameter C_HAS_TEMAC bound to: 1 - type: bool Parameter C_USE_TBI bound to: 0 - type: bool Parameter C_USE_LVDS bound to: 0 - type: bool Parameter C_HAS_AN bound to: 0 - type: bool Parameter C_HAS_MDIO bound to: 0 - type: bool Parameter C_SGMII_PHY_MODE bound to: 0 - type: bool Parameter C_DYNAMIC_SWITCHING bound to: 0 - type: bool Parameter C_SGMII_FABRIC_BUFFER bound to: 1 - type: bool Parameter C_2_5G bound to: 0 - type: bool Parameter C_1588 bound to: 0 - type: integer Parameter B_SHIFTER_ADDR bound to: 10'b0101010000 Parameter GT_RX_BYTE_WIDTH bound to: 1 - type: integer INFO: [Synth 8-3491] module 'gig_ethernet_pcs_pma_v16_2_1' declared at 'd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/hdl/gig_ethernet_pcs_pma_v16_2_rfs.vhd:18735' bound to instance 'gig_ethernet_pcs_pma_0_core' of component 'gig_ethernet_pcs_pma_v16_2_1' [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_block.vhd:430] WARNING: [Synth 8-5640] Port 'gt0_rxbyteisaligned_out' is missing in component declaration [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_block.vhd:163] WARNING: [Synth 8-5640] Port 'gt0_rxbyterealign_out' is missing in component declaration [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_block.vhd:163] Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer INFO: [Synth 8-3491] module 'gig_ethernet_pcs_pma_0_transceiver' declared at 'd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/transceiver/gig_ethernet_pcs_pma_0_transceiver.vhd:72' bound to instance 'transceiver_inst' of component 'gig_ethernet_pcs_pma_0_transceiver' [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_block.vhd:542] INFO: [Synth 8-638] synthesizing module 'gig_ethernet_pcs_pma_0_transceiver' [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/transceiver/gig_ethernet_pcs_pma_0_transceiver.vhd:161] Parameter EXAMPLE_SIMULATION bound to: 0 - type: integer INFO: [Synth 8-3491] module 'gig_ethernet_pcs_pma_0_reset_sync' declared at 'd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_reset_sync.vhd:66' bound to instance 'reclock_encommaalign' of component 'gig_ethernet_pcs_pma_0_reset_sync' [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/transceiver/gig_ethernet_pcs_pma_0_transceiver.vhd:517] INFO: [Synth 8-638] synthesizing module 'gig_ethernet_pcs_pma_0_reset_sync' [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_reset_sync.vhd:79] Parameter INITIALISE bound to: 2'b11 Parameter INIT bound to: 1'b1 INFO: [Synth 8-113] binding component instance 'reset_sync1' to cell 'FDP' [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_reset_sync.vhd:108] Parameter INIT bound to: 1'b1 INFO: [Synth 8-113] binding component instance 'reset_sync2' to cell 'FDP' [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_reset_sync.vhd:119] Parameter INIT bound to: 1'b1 INFO: [Synth 8-113] binding component instance 'reset_sync3' to cell 'FDP' [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_reset_sync.vhd:130] Parameter INIT bound to: 1'b1 INFO: [Synth 8-113] binding component instance 'reset_sync4' to cell 'FDP' [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_reset_sync.vhd:141] Parameter INIT bound to: 1'b1 INFO: [Synth 8-113] binding component instance 'reset_sync5' to cell 'FDP' [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_reset_sync.vhd:152] Parameter INIT bound to: 1'b1 INFO: [Synth 8-113] binding component instance 'reset_sync6' to cell 'FDP' [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_reset_sync.vhd:163] INFO: [Synth 8-256] done synthesizing module 'gig_ethernet_pcs_pma_0_reset_sync' (8#1) [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_reset_sync.vhd:79] INFO: [Synth 8-3491] module 'gig_ethernet_pcs_pma_0_reset_sync' declared at 'd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_reset_sync.vhd:66' bound to instance 'SYNC_ASYNC_RESET_TX' of component 'gig_ethernet_pcs_pma_0_reset_sync' [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/transceiver/gig_ethernet_pcs_pma_0_transceiver.vhd:632] INFO: [Synth 8-3491] module 'gig_ethernet_pcs_pma_0_reset_sync' declared at 'd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_reset_sync.vhd:66' bound to instance 'SYNC_ASYNC_RESET_RX' of component 'gig_ethernet_pcs_pma_0_reset_sync' [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/transceiver/gig_ethernet_pcs_pma_0_transceiver.vhd:646] INFO: [Synth 8-3491] module 'gig_ethernet_pcs_pma_0_reset_sync' declared at 'd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_reset_sync.vhd:66' bound to instance 'SYNC_ASYNC_RESET_GT_RX' of component 'gig_ethernet_pcs_pma_0_reset_sync' [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/transceiver/gig_ethernet_pcs_pma_0_transceiver.vhd:653] INFO: [Synth 8-3491] module 'gig_ethernet_pcs_pma_0_gt' declared at 'd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/ip_0/synth/gig_ethernet_pcs_pma_0_gt.v:62' bound to instance 'gig_ethernet_pcs_pma_0_gt_i' of component 'gig_ethernet_pcs_pma_0_gt' [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/transceiver/gig_ethernet_pcs_pma_0_transceiver.vhd:889] INFO: [Synth 8-6157] synthesizing module 'gig_ethernet_pcs_pma_0_gt' [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/ip_0/synth/gig_ethernet_pcs_pma_0_gt.v:62] INFO: [Synth 8-6157] synthesizing module 'gig_ethernet_pcs_pma_0_gt_gtwizard_top' [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/ip_0/synth/gig_ethernet_pcs_pma_0_gt_gtwizard_top.v:175] Parameter C_CHANNEL_ENABLE bound to: 192'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 Parameter C_PCIE_ENABLE bound to: 0 - type: integer Parameter C_PCIE_CORECLK_FREQ bound to: 250 - type: integer Parameter C_COMMON_SCALING_FACTOR bound to: 1 - type: integer Parameter C_CPLL_VCO_FREQUENCY bound to: 2500.000000 - type: double Parameter C_FORCE_COMMONS bound to: 0 - type: integer Parameter C_FREERUN_FREQUENCY bound to: 50.000000 - type: double Parameter C_GT_TYPE bound to: 0 - type: integer Parameter C_GT_REV bound to: 17 - type: integer Parameter C_INCLUDE_CPLL_CAL bound to: 2 - type: integer Parameter C_ENABLE_COMMON_USRCLK bound to: 0 - type: integer Parameter C_USER_GTPOWERGOOD_DELAY_EN bound to: 0 - type: integer Parameter C_SIM_CPLL_CAL_BYPASS bound to: 1 - type: integer Parameter C_LOCATE_COMMON bound to: 0 - type: integer Parameter C_LOCATE_RESET_CONTROLLER bound to: 0 - type: integer Parameter C_LOCATE_USER_DATA_WIDTH_SIZING bound to: 0 - type: integer Parameter C_LOCATE_RX_BUFFER_BYPASS_CONTROLLER bound to: 0 - type: integer Parameter C_LOCATE_IN_SYSTEM_IBERT_CORE bound to: 2 - type: integer Parameter C_LOCATE_RX_USER_CLOCKING bound to: 1 - type: integer Parameter C_LOCATE_TX_BUFFER_BYPASS_CONTROLLER bound to: 0 - type: integer Parameter C_LOCATE_TX_USER_CLOCKING bound to: 1 - type: integer Parameter C_RESET_CONTROLLER_INSTANCE_CTRL bound to: 0 - type: integer Parameter C_RX_BUFFBYPASS_MODE bound to: 0 - type: integer Parameter C_RX_BUFFER_BYPASS_INSTANCE_CTRL bound to: 0 - type: integer Parameter C_RX_BUFFER_MODE bound to: 1 - type: integer Parameter C_RX_CB_DISP bound to: 8'b00000000 Parameter C_RX_CB_K bound to: 8'b00000000 Parameter C_RX_CB_MAX_LEVEL bound to: 1 - type: integer Parameter C_RX_CB_LEN_SEQ bound to: 1 - type: integer Parameter C_RX_CB_NUM_SEQ bound to: 0 - type: integer Parameter C_RX_CB_VAL bound to: 80'b00000000000000000000000000000000000000000000000000000000000000000000000000000000 Parameter C_RX_CC_DISP bound to: 8'b00000000 Parameter C_RX_CC_ENABLE bound to: 1 - type: integer Parameter C_RESET_SEQUENCE_INTERVAL bound to: 0 - type: integer Parameter C_RX_CC_K bound to: 8'b00010001 Parameter C_RX_CC_LEN_SEQ bound to: 2 - type: integer Parameter C_RX_CC_NUM_SEQ bound to: 2 - type: integer Parameter C_RX_CC_PERIODICITY bound to: 5000 - type: integer Parameter C_RX_CC_VAL bound to: 80'b00000000000000000000001011010100101111000000000000000000000000010100000010111100 Parameter C_RX_COMMA_M_ENABLE bound to: 1 - type: integer Parameter C_RX_COMMA_M_VAL bound to: 10'b1010000011 Parameter C_RX_COMMA_P_ENABLE bound to: 1 - type: integer Parameter C_RX_COMMA_P_VAL bound to: 10'b0101111100 Parameter C_RX_DATA_DECODING bound to: 1 - type: integer Parameter C_RX_ENABLE bound to: 1 - type: integer Parameter C_RX_INT_DATA_WIDTH bound to: 20 - type: integer Parameter C_RX_LINE_RATE bound to: 1.250000 - type: double Parameter C_RX_MASTER_CHANNEL_IDX bound to: 96 - type: integer Parameter C_RX_OUTCLK_BUFG_GT_DIV bound to: 1 - type: integer Parameter C_RX_OUTCLK_FREQUENCY bound to: 62.500000 - type: double Parameter C_RX_OUTCLK_SOURCE bound to: 1 - type: integer Parameter C_RX_PLL_TYPE bound to: 2 - type: integer Parameter C_RX_RECCLK_OUTPUT bound to: 192'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 Parameter C_RX_REFCLK_FREQUENCY bound to: 125.000000 - type: double Parameter C_RX_SLIDE_MODE bound to: 0 - type: integer Parameter C_RX_USER_CLOCKING_CONTENTS bound to: 0 - type: integer Parameter C_RX_USER_CLOCKING_INSTANCE_CTRL bound to: 0 - type: integer Parameter C_RX_USER_CLOCKING_RATIO_FSRC_FUSRCLK bound to: 1 - type: integer Parameter C_RX_USER_CLOCKING_RATIO_FUSRCLK_FUSRCLK2 bound to: 1 - type: integer Parameter C_RX_USER_CLOCKING_SOURCE bound to: 0 - type: integer Parameter C_RX_USER_DATA_WIDTH bound to: 16 - type: integer Parameter C_RX_USRCLK_FREQUENCY bound to: 62.500000 - type: double Parameter C_RX_USRCLK2_FREQUENCY bound to: 62.500000 - type: double Parameter C_SECONDARY_QPLL_ENABLE bound to: 0 - type: integer Parameter C_SECONDARY_QPLL_REFCLK_FREQUENCY bound to: 257.812500 - type: double Parameter C_TOTAL_NUM_CHANNELS bound to: 1 - type: integer Parameter C_TOTAL_NUM_COMMONS bound to: 0 - type: integer Parameter C_TOTAL_NUM_COMMONS_EXAMPLE bound to: 0 - type: integer Parameter C_TXPROGDIV_FREQ_ENABLE bound to: 1 - type: integer Parameter C_TXPROGDIV_FREQ_SOURCE bound to: 2 - type: integer Parameter C_TXPROGDIV_FREQ_VAL bound to: 125.000000 - type: double Parameter C_TX_BUFFBYPASS_MODE bound to: 0 - type: integer Parameter C_TX_BUFFER_BYPASS_INSTANCE_CTRL bound to: 0 - type: integer Parameter C_TX_BUFFER_MODE bound to: 1 - type: integer Parameter C_TX_DATA_ENCODING bound to: 1 - type: integer Parameter C_TX_ENABLE bound to: 1 - type: integer Parameter C_TX_INT_DATA_WIDTH bound to: 20 - type: integer Parameter C_TX_LINE_RATE bound to: 1.250000 - type: double Parameter C_TX_MASTER_CHANNEL_IDX bound to: 96 - type: integer Parameter C_TX_OUTCLK_BUFG_GT_DIV bound to: 2 - type: integer Parameter C_TX_OUTCLK_FREQUENCY bound to: 62.500000 - type: double Parameter C_TX_OUTCLK_SOURCE bound to: 4 - type: integer Parameter C_TX_PLL_TYPE bound to: 2 - type: integer Parameter C_TX_REFCLK_FREQUENCY bound to: 125.000000 - type: double Parameter C_TX_USER_CLOCKING_CONTENTS bound to: 0 - type: integer Parameter C_TX_USER_CLOCKING_INSTANCE_CTRL bound to: 0 - type: integer Parameter C_TX_USER_CLOCKING_RATIO_FSRC_FUSRCLK bound to: 1 - type: integer Parameter C_TX_USER_CLOCKING_RATIO_FUSRCLK_FUSRCLK2 bound to: 1 - type: integer Parameter C_TX_USER_CLOCKING_SOURCE bound to: 0 - type: integer Parameter C_TX_USER_DATA_WIDTH bound to: 16 - type: integer Parameter C_TX_USRCLK_FREQUENCY bound to: 62.500000 - type: double Parameter C_TX_USRCLK2_FREQUENCY bound to: 62.500000 - type: double INFO: [Synth 8-6157] synthesizing module 'gig_ethernet_pcs_pma_0_gt_gtwizard_gthe3' [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/ip_0/synth/gig_ethernet_pcs_pma_0_gt_gtwizard_gthe3.v:143] Parameter C_CHANNEL_ENABLE bound to: 192'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 Parameter C_PCIE_ENABLE bound to: 0 - type: integer Parameter C_PCIE_CORECLK_FREQ bound to: 250 - type: integer Parameter C_COMMON_SCALING_FACTOR bound to: 1 - type: integer Parameter C_CPLL_VCO_FREQUENCY bound to: 2500.000000 - type: double Parameter C_FREERUN_FREQUENCY bound to: 50.000000 - type: double Parameter C_GT_REV bound to: 17 - type: integer Parameter C_INCLUDE_CPLL_CAL bound to: 2 - type: integer Parameter C_ENABLE_COMMON_USRCLK bound to: 0 - type: integer Parameter C_LOCATE_RESET_CONTROLLER bound to: 0 - type: integer Parameter C_LOCATE_USER_DATA_WIDTH_SIZING bound to: 0 - type: integer Parameter C_LOCATE_RX_BUFFER_BYPASS_CONTROLLER bound to: 0 - type: integer Parameter C_LOCATE_RX_USER_CLOCKING bound to: 1 - type: integer Parameter C_LOCATE_TX_BUFFER_BYPASS_CONTROLLER bound to: 0 - type: integer Parameter C_LOCATE_TX_USER_CLOCKING bound to: 1 - type: integer Parameter C_RESET_CONTROLLER_INSTANCE_CTRL bound to: 0 - type: integer Parameter C_RX_BUFFBYPASS_MODE bound to: 0 - type: integer Parameter C_RX_BUFFER_BYPASS_INSTANCE_CTRL bound to: 0 - type: integer Parameter C_RX_BUFFER_MODE bound to: 1 - type: integer Parameter C_RX_DATA_DECODING bound to: 1 - type: integer Parameter C_RX_ENABLE bound to: 1 - type: integer Parameter C_RX_INT_DATA_WIDTH bound to: 20 - type: integer Parameter C_RX_LINE_RATE bound to: 1.250000 - type: double Parameter C_RX_MASTER_CHANNEL_IDX bound to: 96 - type: integer Parameter C_RX_OUTCLK_BUFG_GT_DIV bound to: 1 - type: integer Parameter C_RX_PLL_TYPE bound to: 2 - type: integer Parameter C_RX_USER_CLOCKING_CONTENTS bound to: 0 - type: integer Parameter C_RX_USER_CLOCKING_INSTANCE_CTRL bound to: 0 - type: integer Parameter C_RX_USER_CLOCKING_RATIO_FUSRCLK_FUSRCLK2 bound to: 1 - type: integer Parameter C_RX_USER_CLOCKING_SOURCE bound to: 0 - type: integer Parameter C_RX_USER_DATA_WIDTH bound to: 16 - type: integer Parameter C_TOTAL_NUM_CHANNELS bound to: 1 - type: integer Parameter C_TOTAL_NUM_COMMONS bound to: 0 - type: integer Parameter C_TXPROGDIV_FREQ_ENABLE bound to: 1 - type: integer Parameter C_TXPROGDIV_FREQ_SOURCE bound to: 2 - type: integer Parameter C_TX_BUFFBYPASS_MODE bound to: 0 - type: integer Parameter C_TX_BUFFER_BYPASS_INSTANCE_CTRL bound to: 0 - type: integer Parameter C_TX_BUFFER_MODE bound to: 1 - type: integer Parameter C_TX_DATA_ENCODING bound to: 1 - type: integer Parameter C_TX_ENABLE bound to: 1 - type: integer Parameter C_TX_INT_DATA_WIDTH bound to: 20 - type: integer Parameter C_TX_MASTER_CHANNEL_IDX bound to: 96 - type: integer Parameter C_TX_OUTCLK_BUFG_GT_DIV bound to: 2 - type: integer Parameter C_TX_PLL_TYPE bound to: 2 - type: integer Parameter C_TX_USER_CLOCKING_CONTENTS bound to: 0 - type: integer Parameter C_TX_USER_CLOCKING_INSTANCE_CTRL bound to: 0 - type: integer Parameter C_TX_USER_CLOCKING_RATIO_FUSRCLK_FUSRCLK2 bound to: 1 - type: integer Parameter C_TX_USER_CLOCKING_SOURCE bound to: 0 - type: integer Parameter C_TX_USER_DATA_WIDTH bound to: 16 - type: integer Parameter P_COMMON_ENABLE bound to: 48'b000000000000000000000001000000000000000000000000 Parameter P_TX_MASTER_CH_PACKED_IDX bound to: 0 - type: integer Parameter P_RX_MASTER_CH_PACKED_IDX bound to: 0 - type: integer Parameter P_USE_CPLL_CAL bound to: 0 - type: integer Parameter P_CPLL_CAL_FREQ_COUNT_WINDOW bound to: 16'b0011111010000000 Parameter P_CPLL_CAL_TXOUTCLK_PERIOD bound to: 18'b000010011100010000 Parameter P_CPLL_CAL_WAIT_DEASSERT_CPLLPD bound to: 16'b0000000100000000 Parameter P_CPLL_CAL_TXOUTCLK_PERIOD_DIV100 bound to: 18'b000000000001100100 Parameter P_CDR_TIMEOUT_FREERUN_CYC bound to: 26'b00000101101001010101000000 INFO: [Synth 8-6157] synthesizing module 'gig_ethernet_pcs_pma_0_gt_gthe3_channel_wrapper' [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/ip_0/synth/gig_ethernet_pcs_pma_0_gt_gthe3_channel_wrapper.v:56] Parameter MASTER_EN bound to: 0 - type: integer Parameter NUM_CHANNELS bound to: 1 - type: integer INFO: [Synth 8-6157] synthesizing module 'gtwizard_ultrascale_v1_7_9_gthe3_channel' [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/ip_0/synth/gtwizard_ultrascale_v1_7_gthe3_channel.v:55] Parameter NUM_CHANNELS bound to: 1 - type: integer Parameter GTHE3_CHANNEL_ACJTAG_DEBUG_MODE bound to: 1'b0 Parameter GTHE3_CHANNEL_ACJTAG_MODE bound to: 1'b0 Parameter GTHE3_CHANNEL_ACJTAG_RESET bound to: 1'b0 Parameter GTHE3_CHANNEL_ADAPT_CFG0 bound to: 16'b1111100000000000 Parameter GTHE3_CHANNEL_ADAPT_CFG1 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_ALIGN_COMMA_DOUBLE bound to: FALSE - type: string Parameter GTHE3_CHANNEL_ALIGN_COMMA_ENABLE bound to: 10'b1111111111 Parameter GTHE3_CHANNEL_ALIGN_COMMA_WORD bound to: 2 - type: integer Parameter GTHE3_CHANNEL_ALIGN_MCOMMA_DET bound to: TRUE - type: string Parameter GTHE3_CHANNEL_ALIGN_MCOMMA_VALUE bound to: 10'b1010000011 Parameter GTHE3_CHANNEL_ALIGN_PCOMMA_DET bound to: TRUE - type: string Parameter GTHE3_CHANNEL_ALIGN_PCOMMA_VALUE bound to: 10'b0101111100 Parameter GTHE3_CHANNEL_A_RXOSCALRESET bound to: 1'b0 Parameter GTHE3_CHANNEL_A_RXPROGDIVRESET bound to: 1'b0 Parameter GTHE3_CHANNEL_A_TXPROGDIVRESET bound to: 1'b0 Parameter GTHE3_CHANNEL_CBCC_DATA_SOURCE_SEL bound to: DECODED - type: string Parameter GTHE3_CHANNEL_CDR_SWAP_MODE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_CHAN_BOND_KEEP_ALIGN bound to: FALSE - type: string Parameter GTHE3_CHANNEL_CHAN_BOND_MAX_SKEW bound to: 1 - type: integer Parameter GTHE3_CHANNEL_CHAN_BOND_SEQ_1_1 bound to: 10'b0000000000 Parameter GTHE3_CHANNEL_CHAN_BOND_SEQ_1_2 bound to: 10'b0000000000 Parameter GTHE3_CHANNEL_CHAN_BOND_SEQ_1_3 bound to: 10'b0000000000 Parameter GTHE3_CHANNEL_CHAN_BOND_SEQ_1_4 bound to: 10'b0000000000 Parameter GTHE3_CHANNEL_CHAN_BOND_SEQ_1_ENABLE bound to: 4'b1111 Parameter GTHE3_CHANNEL_CHAN_BOND_SEQ_2_1 bound to: 10'b0000000000 Parameter GTHE3_CHANNEL_CHAN_BOND_SEQ_2_2 bound to: 10'b0000000000 Parameter GTHE3_CHANNEL_CHAN_BOND_SEQ_2_3 bound to: 10'b0000000000 Parameter GTHE3_CHANNEL_CHAN_BOND_SEQ_2_4 bound to: 10'b0000000000 Parameter GTHE3_CHANNEL_CHAN_BOND_SEQ_2_ENABLE bound to: 4'b1111 Parameter GTHE3_CHANNEL_CHAN_BOND_SEQ_2_USE bound to: FALSE - type: string Parameter GTHE3_CHANNEL_CHAN_BOND_SEQ_LEN bound to: 1 - type: integer Parameter GTHE3_CHANNEL_CLK_CORRECT_USE bound to: TRUE - type: string Parameter GTHE3_CHANNEL_CLK_COR_KEEP_IDLE bound to: FALSE - type: string Parameter GTHE3_CHANNEL_CLK_COR_MAX_LAT bound to: 15 - type: integer Parameter GTHE3_CHANNEL_CLK_COR_MIN_LAT bound to: 12 - type: integer Parameter GTHE3_CHANNEL_CLK_COR_PRECEDENCE bound to: TRUE - type: string Parameter GTHE3_CHANNEL_CLK_COR_REPEAT_WAIT bound to: 0 - type: integer Parameter GTHE3_CHANNEL_CLK_COR_SEQ_1_1 bound to: 10'b0110111100 Parameter GTHE3_CHANNEL_CLK_COR_SEQ_1_2 bound to: 10'b0001010000 Parameter GTHE3_CHANNEL_CLK_COR_SEQ_1_3 bound to: 10'b0000000000 Parameter GTHE3_CHANNEL_CLK_COR_SEQ_1_4 bound to: 10'b0000000000 Parameter GTHE3_CHANNEL_CLK_COR_SEQ_1_ENABLE bound to: 4'b1111 Parameter GTHE3_CHANNEL_CLK_COR_SEQ_2_1 bound to: 10'b0110111100 Parameter GTHE3_CHANNEL_CLK_COR_SEQ_2_2 bound to: 10'b0010110101 Parameter GTHE3_CHANNEL_CLK_COR_SEQ_2_3 bound to: 10'b0000000000 Parameter GTHE3_CHANNEL_CLK_COR_SEQ_2_4 bound to: 10'b0000000000 Parameter GTHE3_CHANNEL_CLK_COR_SEQ_2_ENABLE bound to: 4'b1111 Parameter GTHE3_CHANNEL_CLK_COR_SEQ_2_USE bound to: TRUE - type: string Parameter GTHE3_CHANNEL_CLK_COR_SEQ_LEN bound to: 2 - type: integer Parameter GTHE3_CHANNEL_CPLL_CFG0 bound to: 16'b0110011111111000 Parameter GTHE3_CHANNEL_CPLL_CFG1 bound to: 16'b1010010010101100 Parameter GTHE3_CHANNEL_CPLL_CFG2 bound to: 16'b0000000000000111 Parameter GTHE3_CHANNEL_CPLL_CFG3 bound to: 6'b000000 Parameter GTHE3_CHANNEL_CPLL_FBDIV bound to: 5 - type: integer Parameter GTHE3_CHANNEL_CPLL_FBDIV_45 bound to: 4 - type: integer Parameter GTHE3_CHANNEL_CPLL_INIT_CFG0 bound to: 16'b0000001010110010 Parameter GTHE3_CHANNEL_CPLL_INIT_CFG1 bound to: 8'b00000000 Parameter GTHE3_CHANNEL_CPLL_LOCK_CFG bound to: 16'b0000000111101000 Parameter GTHE3_CHANNEL_CPLL_REFCLK_DIV bound to: 1 - type: integer Parameter GTHE3_CHANNEL_DDI_CTRL bound to: 2'b00 Parameter GTHE3_CHANNEL_DDI_REALIGN_WAIT bound to: 15 - type: integer Parameter GTHE3_CHANNEL_DEC_MCOMMA_DETECT bound to: TRUE - type: string Parameter GTHE3_CHANNEL_DEC_PCOMMA_DETECT bound to: TRUE - type: string Parameter GTHE3_CHANNEL_DEC_VALID_COMMA_ONLY bound to: FALSE - type: string Parameter GTHE3_CHANNEL_DFE_D_X_REL_POS bound to: 1'b0 Parameter GTHE3_CHANNEL_DFE_VCM_COMP_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_DMONITOR_CFG0 bound to: 10'b0000000000 Parameter GTHE3_CHANNEL_DMONITOR_CFG1 bound to: 8'b00000000 Parameter GTHE3_CHANNEL_ES_CLK_PHASE_SEL bound to: 1'b0 Parameter GTHE3_CHANNEL_ES_CONTROL bound to: 6'b000000 Parameter GTHE3_CHANNEL_ES_ERRDET_EN bound to: FALSE - type: string Parameter GTHE3_CHANNEL_ES_EYE_SCAN_EN bound to: FALSE - type: string Parameter GTHE3_CHANNEL_ES_HORZ_OFFSET bound to: 12'b000000000000 Parameter GTHE3_CHANNEL_ES_PMA_CFG bound to: 10'b0000000000 Parameter GTHE3_CHANNEL_ES_PRESCALE bound to: 5'b00000 Parameter GTHE3_CHANNEL_ES_QUALIFIER0 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_ES_QUALIFIER1 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_ES_QUALIFIER2 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_ES_QUALIFIER3 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_ES_QUALIFIER4 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_ES_QUAL_MASK0 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_ES_QUAL_MASK1 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_ES_QUAL_MASK2 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_ES_QUAL_MASK3 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_ES_QUAL_MASK4 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_ES_SDATA_MASK0 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_ES_SDATA_MASK1 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_ES_SDATA_MASK2 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_ES_SDATA_MASK3 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_ES_SDATA_MASK4 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_EVODD_PHI_CFG bound to: 11'b00000000000 Parameter GTHE3_CHANNEL_EYE_SCAN_SWAP_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_FTS_DESKEW_SEQ_ENABLE bound to: 4'b1111 Parameter GTHE3_CHANNEL_FTS_LANE_DESKEW_CFG bound to: 4'b1111 Parameter GTHE3_CHANNEL_FTS_LANE_DESKEW_EN bound to: FALSE - type: string Parameter GTHE3_CHANNEL_GEARBOX_MODE bound to: 5'b00000 Parameter GTHE3_CHANNEL_GM_BIAS_SELECT bound to: 1'b0 Parameter GTHE3_CHANNEL_LOCAL_MASTER bound to: 1'b1 Parameter GTHE3_CHANNEL_OOBDIVCTL bound to: 2'b00 Parameter GTHE3_CHANNEL_OOB_PWRUP bound to: 1'b0 Parameter GTHE3_CHANNEL_PCI3_AUTO_REALIGN bound to: OVR_1K_BLK - type: string Parameter GTHE3_CHANNEL_PCI3_PIPE_RX_ELECIDLE bound to: 1'b0 Parameter GTHE3_CHANNEL_PCI3_RX_ASYNC_EBUF_BYPASS bound to: 2'b00 Parameter GTHE3_CHANNEL_PCI3_RX_ELECIDLE_EI2_ENABLE bound to: 1'b0 Parameter GTHE3_CHANNEL_PCI3_RX_ELECIDLE_H2L_COUNT bound to: 6'b000000 Parameter GTHE3_CHANNEL_PCI3_RX_ELECIDLE_H2L_DISABLE bound to: 3'b000 Parameter GTHE3_CHANNEL_PCI3_RX_ELECIDLE_HI_COUNT bound to: 6'b000000 Parameter GTHE3_CHANNEL_PCI3_RX_ELECIDLE_LP4_DISABLE bound to: 1'b0 Parameter GTHE3_CHANNEL_PCI3_RX_FIFO_DISABLE bound to: 1'b0 Parameter GTHE3_CHANNEL_PCIE_BUFG_DIV_CTRL bound to: 16'b0001000000000000 Parameter GTHE3_CHANNEL_PCIE_RXPCS_CFG_GEN3 bound to: 16'b0000001010100100 Parameter GTHE3_CHANNEL_PCIE_RXPMA_CFG bound to: 16'b0000000000001010 Parameter GTHE3_CHANNEL_PCIE_TXPCS_CFG_GEN3 bound to: 16'b0010110010100100 Parameter GTHE3_CHANNEL_PCIE_TXPMA_CFG bound to: 16'b0000000000001010 Parameter GTHE3_CHANNEL_PCS_PCIE_EN bound to: FALSE - type: string Parameter GTHE3_CHANNEL_PCS_RSVD0 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_PCS_RSVD1 bound to: 3'b000 Parameter GTHE3_CHANNEL_PD_TRANS_TIME_FROM_P2 bound to: 12'b000000111100 Parameter GTHE3_CHANNEL_PD_TRANS_TIME_NONE_P2 bound to: 8'b00011001 Parameter GTHE3_CHANNEL_PD_TRANS_TIME_TO_P2 bound to: 8'b01100100 Parameter GTHE3_CHANNEL_PLL_SEL_MODE_GEN12 bound to: 2'b00 Parameter GTHE3_CHANNEL_PLL_SEL_MODE_GEN3 bound to: 2'b11 Parameter GTHE3_CHANNEL_PMA_RSV1 bound to: 16'b1111000000000000 Parameter GTHE3_CHANNEL_PROCESS_PAR bound to: 3'b010 Parameter GTHE3_CHANNEL_RATE_SW_USE_DRP bound to: 1'b1 Parameter GTHE3_CHANNEL_RESET_POWERSAVE_DISABLE bound to: 1'b0 Parameter GTHE3_CHANNEL_RXBUFRESET_TIME bound to: 5'b00011 Parameter GTHE3_CHANNEL_RXBUF_ADDR_MODE bound to: FULL - type: string Parameter GTHE3_CHANNEL_RXBUF_EIDLE_HI_CNT bound to: 4'b1000 Parameter GTHE3_CHANNEL_RXBUF_EIDLE_LO_CNT bound to: 4'b0000 Parameter GTHE3_CHANNEL_RXBUF_EN bound to: TRUE - type: string Parameter GTHE3_CHANNEL_RXBUF_RESET_ON_CB_CHANGE bound to: TRUE - type: string Parameter GTHE3_CHANNEL_RXBUF_RESET_ON_COMMAALIGN bound to: FALSE - type: string Parameter GTHE3_CHANNEL_RXBUF_RESET_ON_EIDLE bound to: FALSE - type: string Parameter GTHE3_CHANNEL_RXBUF_RESET_ON_RATE_CHANGE bound to: TRUE - type: string Parameter GTHE3_CHANNEL_RXBUF_THRESH_OVFLW bound to: 0 - type: integer Parameter GTHE3_CHANNEL_RXBUF_THRESH_OVRD bound to: FALSE - type: string Parameter GTHE3_CHANNEL_RXBUF_THRESH_UNDFLW bound to: 0 - type: integer Parameter GTHE3_CHANNEL_RXCDRFREQRESET_TIME bound to: 5'b00001 Parameter GTHE3_CHANNEL_RXCDRPHRESET_TIME bound to: 5'b00001 Parameter GTHE3_CHANNEL_RXCDR_CFG0 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_RXCDR_CFG0_GEN3 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_RXCDR_CFG1 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_RXCDR_CFG1_GEN3 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_RXCDR_CFG2 bound to: 16'b0000011101000110 Parameter GTHE3_CHANNEL_RXCDR_CFG2_GEN3 bound to: 16'b0000011111100110 Parameter GTHE3_CHANNEL_RXCDR_CFG3 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_RXCDR_CFG3_GEN3 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_RXCDR_CFG4 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_RXCDR_CFG4_GEN3 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_RXCDR_CFG5 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_RXCDR_CFG5_GEN3 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_RXCDR_FR_RESET_ON_EIDLE bound to: 1'b0 Parameter GTHE3_CHANNEL_RXCDR_HOLD_DURING_EIDLE bound to: 1'b0 Parameter GTHE3_CHANNEL_RXCDR_LOCK_CFG0 bound to: 16'b0100010010000000 Parameter GTHE3_CHANNEL_RXCDR_LOCK_CFG1 bound to: 16'b0101111111111111 Parameter GTHE3_CHANNEL_RXCDR_LOCK_CFG2 bound to: 16'b0111011111000011 Parameter GTHE3_CHANNEL_RXCDR_PH_RESET_ON_EIDLE bound to: 1'b0 Parameter GTHE3_CHANNEL_RXCFOK_CFG0 bound to: 16'b0100000000000000 Parameter GTHE3_CHANNEL_RXCFOK_CFG1 bound to: 16'b0000000001100101 Parameter GTHE3_CHANNEL_RXCFOK_CFG2 bound to: 16'b0000000000101110 Parameter GTHE3_CHANNEL_RXDFELPMRESET_TIME bound to: 7'b0001111 Parameter GTHE3_CHANNEL_RXDFELPM_KL_CFG0 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_RXDFELPM_KL_CFG1 bound to: 16'b0000000000110010 Parameter GTHE3_CHANNEL_RXDFELPM_KL_CFG2 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_RXDFE_CFG0 bound to: 16'b0000101000000000 Parameter GTHE3_CHANNEL_RXDFE_CFG1 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_RXDFE_GC_CFG0 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_RXDFE_GC_CFG1 bound to: 16'b0111100001110000 Parameter GTHE3_CHANNEL_RXDFE_GC_CFG2 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_RXDFE_H2_CFG0 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_RXDFE_H2_CFG1 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_RXDFE_H3_CFG0 bound to: 16'b0100000000000000 Parameter GTHE3_CHANNEL_RXDFE_H3_CFG1 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_RXDFE_H4_CFG0 bound to: 16'b0010000000000000 Parameter GTHE3_CHANNEL_RXDFE_H4_CFG1 bound to: 16'b0000000000000011 Parameter GTHE3_CHANNEL_RXDFE_H5_CFG0 bound to: 16'b0010000000000000 Parameter GTHE3_CHANNEL_RXDFE_H5_CFG1 bound to: 16'b0000000000000011 Parameter GTHE3_CHANNEL_RXDFE_H6_CFG0 bound to: 16'b0010000000000000 Parameter GTHE3_CHANNEL_RXDFE_H6_CFG1 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_RXDFE_H7_CFG0 bound to: 16'b0010000000000000 Parameter GTHE3_CHANNEL_RXDFE_H7_CFG1 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_RXDFE_H8_CFG0 bound to: 16'b0010000000000000 Parameter GTHE3_CHANNEL_RXDFE_H8_CFG1 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_RXDFE_H9_CFG0 bound to: 16'b0010000000000000 Parameter GTHE3_CHANNEL_RXDFE_H9_CFG1 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_RXDFE_HA_CFG0 bound to: 16'b0010000000000000 Parameter GTHE3_CHANNEL_RXDFE_HA_CFG1 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_RXDFE_HB_CFG0 bound to: 16'b0010000000000000 Parameter GTHE3_CHANNEL_RXDFE_HB_CFG1 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_RXDFE_HC_CFG0 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_RXDFE_HC_CFG1 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_RXDFE_HD_CFG0 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_RXDFE_HD_CFG1 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_RXDFE_HE_CFG0 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_RXDFE_HE_CFG1 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_RXDFE_HF_CFG0 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_RXDFE_HF_CFG1 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_RXDFE_OS_CFG0 bound to: 16'b1000000000000000 Parameter GTHE3_CHANNEL_RXDFE_OS_CFG1 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_RXDFE_UT_CFG0 bound to: 16'b1000000000000000 Parameter GTHE3_CHANNEL_RXDFE_UT_CFG1 bound to: 16'b0000000000000011 Parameter GTHE3_CHANNEL_RXDFE_VP_CFG0 bound to: 16'b1010101000000000 Parameter GTHE3_CHANNEL_RXDFE_VP_CFG1 bound to: 16'b0000000000110011 Parameter GTHE3_CHANNEL_RXDLY_CFG bound to: 16'b0000000000011111 Parameter GTHE3_CHANNEL_RXDLY_LCFG bound to: 16'b0000000000110000 Parameter GTHE3_CHANNEL_RXELECIDLE_CFG bound to: Sigcfg_4 - type: string Parameter GTHE3_CHANNEL_RXGBOX_FIFO_INIT_RD_ADDR bound to: 4 - type: integer Parameter GTHE3_CHANNEL_RXGEARBOX_EN bound to: FALSE - type: string Parameter GTHE3_CHANNEL_RXISCANRESET_TIME bound to: 5'b00001 Parameter GTHE3_CHANNEL_RXLPM_CFG bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_RXLPM_GC_CFG bound to: 16'b0001000000000000 Parameter GTHE3_CHANNEL_RXLPM_KH_CFG0 bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_RXLPM_KH_CFG1 bound to: 16'b0000000000000010 Parameter GTHE3_CHANNEL_RXLPM_OS_CFG0 bound to: 16'b1000000000000000 Parameter GTHE3_CHANNEL_RXLPM_OS_CFG1 bound to: 16'b0000000000000010 Parameter GTHE3_CHANNEL_RXOOB_CFG bound to: 9'b000000110 Parameter GTHE3_CHANNEL_RXOOB_CLK_CFG bound to: PMA - type: string Parameter GTHE3_CHANNEL_RXOSCALRESET_TIME bound to: 5'b00011 Parameter GTHE3_CHANNEL_RXOUT_DIV bound to: 4 - type: integer Parameter GTHE3_CHANNEL_RXPCSRESET_TIME bound to: 5'b00011 Parameter GTHE3_CHANNEL_RXPHBEACON_CFG bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_RXPHDLY_CFG bound to: 16'b0010000000100000 Parameter GTHE3_CHANNEL_RXPHSAMP_CFG bound to: 16'b0010000100000000 Parameter GTHE3_CHANNEL_RXPHSLIP_CFG bound to: 16'b0110011000100010 Parameter GTHE3_CHANNEL_RXPH_MONITOR_SEL bound to: 5'b00000 Parameter GTHE3_CHANNEL_RXPI_CFG0 bound to: 2'b01 Parameter GTHE3_CHANNEL_RXPI_CFG1 bound to: 2'b01 Parameter GTHE3_CHANNEL_RXPI_CFG2 bound to: 2'b01 Parameter GTHE3_CHANNEL_RXPI_CFG3 bound to: 2'b01 Parameter GTHE3_CHANNEL_RXPI_CFG4 bound to: 1'b1 Parameter GTHE3_CHANNEL_RXPI_CFG5 bound to: 1'b1 Parameter GTHE3_CHANNEL_RXPI_CFG6 bound to: 3'b011 Parameter GTHE3_CHANNEL_RXPI_LPM bound to: 1'b0 Parameter GTHE3_CHANNEL_RXPI_VREFSEL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXPMACLK_SEL bound to: DATA - type: string Parameter GTHE3_CHANNEL_RXPMARESET_TIME bound to: 5'b00011 Parameter GTHE3_CHANNEL_RXPRBS_ERR_LOOPBACK bound to: 1'b0 Parameter GTHE3_CHANNEL_RXPRBS_LINKACQ_CNT bound to: 15 - type: integer Parameter GTHE3_CHANNEL_RXSLIDE_AUTO_WAIT bound to: 7 - type: integer Parameter GTHE3_CHANNEL_RXSLIDE_MODE bound to: OFF - type: string Parameter GTHE3_CHANNEL_RXSYNC_MULTILANE bound to: 1'b0 Parameter GTHE3_CHANNEL_RXSYNC_OVRD bound to: 1'b0 Parameter GTHE3_CHANNEL_RXSYNC_SKIP_DA bound to: 1'b0 Parameter GTHE3_CHANNEL_RX_AFE_CM_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RX_BIAS_CFG0 bound to: 16'b0000101010110100 Parameter GTHE3_CHANNEL_RX_BUFFER_CFG bound to: 6'b000000 Parameter GTHE3_CHANNEL_RX_CAPFF_SARC_ENB bound to: 1'b0 Parameter GTHE3_CHANNEL_RX_CLK25_DIV bound to: 5 - type: integer Parameter GTHE3_CHANNEL_RX_CLKMUX_EN bound to: 1'b1 Parameter GTHE3_CHANNEL_RX_CLK_SLIP_OVRD bound to: 5'b00000 Parameter GTHE3_CHANNEL_RX_CM_BUF_CFG bound to: 4'b1010 Parameter GTHE3_CHANNEL_RX_CM_BUF_PD bound to: 1'b0 Parameter GTHE3_CHANNEL_RX_CM_SEL bound to: 2'b11 Parameter GTHE3_CHANNEL_RX_CM_TRIM bound to: 4'b1010 Parameter GTHE3_CHANNEL_RX_CTLE3_LPF bound to: 8'b00000001 Parameter GTHE3_CHANNEL_RX_DATA_WIDTH bound to: 20 - type: integer Parameter GTHE3_CHANNEL_RX_DDI_SEL bound to: 6'b000000 Parameter GTHE3_CHANNEL_RX_DEFER_RESET_BUF_EN bound to: TRUE - type: string Parameter GTHE3_CHANNEL_RX_DFELPM_CFG0 bound to: 4'b0110 Parameter GTHE3_CHANNEL_RX_DFELPM_CFG1 bound to: 1'b1 Parameter GTHE3_CHANNEL_RX_DFELPM_KLKH_AGC_STUP_EN bound to: 1'b1 Parameter GTHE3_CHANNEL_RX_DFE_AGC_CFG0 bound to: 2'b10 Parameter GTHE3_CHANNEL_RX_DFE_AGC_CFG1 bound to: 3'b000 Parameter GTHE3_CHANNEL_RX_DFE_KL_LPM_KH_CFG0 bound to: 2'b01 Parameter GTHE3_CHANNEL_RX_DFE_KL_LPM_KH_CFG1 bound to: 3'b000 Parameter GTHE3_CHANNEL_RX_DFE_KL_LPM_KL_CFG0 bound to: 2'b01 Parameter GTHE3_CHANNEL_RX_DFE_KL_LPM_KL_CFG1 bound to: 3'b000 Parameter GTHE3_CHANNEL_RX_DFE_LPM_HOLD_DURING_EIDLE bound to: 1'b0 Parameter GTHE3_CHANNEL_RX_DISPERR_SEQ_MATCH bound to: TRUE - type: string Parameter GTHE3_CHANNEL_RX_DIVRESET_TIME bound to: 5'b00001 Parameter GTHE3_CHANNEL_RX_EN_HI_LR bound to: 1'b0 Parameter GTHE3_CHANNEL_RX_EYESCAN_VS_CODE bound to: 7'b0000000 Parameter GTHE3_CHANNEL_RX_EYESCAN_VS_NEG_DIR bound to: 1'b0 Parameter GTHE3_CHANNEL_RX_EYESCAN_VS_RANGE bound to: 2'b00 Parameter GTHE3_CHANNEL_RX_EYESCAN_VS_UT_SIGN bound to: 1'b0 Parameter GTHE3_CHANNEL_RX_FABINT_USRCLK_FLOP bound to: 1'b0 Parameter GTHE3_CHANNEL_RX_INT_DATAWIDTH bound to: 0 - type: integer Parameter GTHE3_CHANNEL_RX_PMA_POWER_SAVE bound to: 1'b0 Parameter GTHE3_CHANNEL_RX_PROGDIV_CFG bound to: 0.000000 - type: double Parameter GTHE3_CHANNEL_RX_SAMPLE_PERIOD bound to: 3'b111 Parameter GTHE3_CHANNEL_RX_SIG_VALID_DLY bound to: 11 - type: integer Parameter GTHE3_CHANNEL_RX_SUM_DFETAPREP_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RX_SUM_IREF_TUNE bound to: 4'b1100 Parameter GTHE3_CHANNEL_RX_SUM_RES_CTRL bound to: 2'b11 Parameter GTHE3_CHANNEL_RX_SUM_VCMTUNE bound to: 4'b0000 Parameter GTHE3_CHANNEL_RX_SUM_VCM_OVWR bound to: 1'b0 Parameter GTHE3_CHANNEL_RX_SUM_VREF_TUNE bound to: 3'b000 Parameter GTHE3_CHANNEL_RX_TUNE_AFE_OS bound to: 2'b10 Parameter GTHE3_CHANNEL_RX_WIDEMODE_CDR bound to: 1'b0 Parameter GTHE3_CHANNEL_RX_XCLK_SEL bound to: RXDES - type: string Parameter GTHE3_CHANNEL_SAS_MAX_COM bound to: 64 - type: integer Parameter GTHE3_CHANNEL_SAS_MIN_COM bound to: 36 - type: integer Parameter GTHE3_CHANNEL_SATA_BURST_SEQ_LEN bound to: 4'b1110 Parameter GTHE3_CHANNEL_SATA_BURST_VAL bound to: 3'b100 Parameter GTHE3_CHANNEL_SATA_CPLL_CFG bound to: VCO_3000MHZ - type: string Parameter GTHE3_CHANNEL_SATA_EIDLE_VAL bound to: 3'b100 Parameter GTHE3_CHANNEL_SATA_MAX_BURST bound to: 8 - type: integer Parameter GTHE3_CHANNEL_SATA_MAX_INIT bound to: 21 - type: integer Parameter GTHE3_CHANNEL_SATA_MAX_WAKE bound to: 7 - type: integer Parameter GTHE3_CHANNEL_SATA_MIN_BURST bound to: 4 - type: integer Parameter GTHE3_CHANNEL_SATA_MIN_INIT bound to: 12 - type: integer Parameter GTHE3_CHANNEL_SATA_MIN_WAKE bound to: 4 - type: integer Parameter GTHE3_CHANNEL_SHOW_REALIGN_COMMA bound to: TRUE - type: string Parameter GTHE3_CHANNEL_SIM_RECEIVER_DETECT_PASS bound to: TRUE - type: string Parameter GTHE3_CHANNEL_SIM_RESET_SPEEDUP bound to: TRUE - type: string Parameter GTHE3_CHANNEL_SIM_TX_EIDLE_DRIVE_LEVEL bound to: 1'b0 Parameter GTHE3_CHANNEL_SIM_VERSION bound to: 2 - type: integer Parameter GTHE3_CHANNEL_TAPDLY_SET_TX bound to: 2'b00 Parameter GTHE3_CHANNEL_TEMPERATUR_PAR bound to: 4'b0010 Parameter GTHE3_CHANNEL_TERM_RCAL_CFG bound to: 15'b100001000010000 Parameter GTHE3_CHANNEL_TERM_RCAL_OVRD bound to: 3'b000 Parameter GTHE3_CHANNEL_TRANS_TIME_RATE bound to: 8'b00001110 Parameter GTHE3_CHANNEL_TST_RSV0 bound to: 8'b00000000 Parameter GTHE3_CHANNEL_TST_RSV1 bound to: 8'b00000000 Parameter GTHE3_CHANNEL_TXBUF_EN bound to: TRUE - type: string Parameter GTHE3_CHANNEL_TXBUF_RESET_ON_RATE_CHANGE bound to: TRUE - type: string Parameter GTHE3_CHANNEL_TXDLY_CFG bound to: 16'b0000000000001001 Parameter GTHE3_CHANNEL_TXDLY_LCFG bound to: 16'b0000000001010000 Parameter GTHE3_CHANNEL_TXDRVBIAS_N bound to: 4'b1010 Parameter GTHE3_CHANNEL_TXDRVBIAS_P bound to: 4'b1010 Parameter GTHE3_CHANNEL_TXFIFO_ADDR_CFG bound to: LOW - type: string Parameter GTHE3_CHANNEL_TXGBOX_FIFO_INIT_RD_ADDR bound to: 4 - type: integer Parameter GTHE3_CHANNEL_TXGEARBOX_EN bound to: FALSE - type: string Parameter GTHE3_CHANNEL_TXOUT_DIV bound to: 4 - type: integer Parameter GTHE3_CHANNEL_TXPCSRESET_TIME bound to: 5'b00011 Parameter GTHE3_CHANNEL_TXPHDLY_CFG0 bound to: 16'b0010000000100000 Parameter GTHE3_CHANNEL_TXPHDLY_CFG1 bound to: 16'b0000000001110101 Parameter GTHE3_CHANNEL_TXPH_CFG bound to: 16'b0000100110000000 Parameter GTHE3_CHANNEL_TXPH_MONITOR_SEL bound to: 5'b00000 Parameter GTHE3_CHANNEL_TXPI_CFG0 bound to: 2'b01 Parameter GTHE3_CHANNEL_TXPI_CFG1 bound to: 2'b01 Parameter GTHE3_CHANNEL_TXPI_CFG2 bound to: 2'b01 Parameter GTHE3_CHANNEL_TXPI_CFG3 bound to: 1'b1 Parameter GTHE3_CHANNEL_TXPI_CFG4 bound to: 1'b1 Parameter GTHE3_CHANNEL_TXPI_CFG5 bound to: 3'b011 Parameter GTHE3_CHANNEL_TXPI_GRAY_SEL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPI_INVSTROBE_SEL bound to: 1'b1 Parameter GTHE3_CHANNEL_TXPI_LPM bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPI_PPMCLK_SEL bound to: TXUSRCLK2 - type: string Parameter GTHE3_CHANNEL_TXPI_PPM_CFG bound to: 8'b00000000 Parameter GTHE3_CHANNEL_TXPI_SYNFREQ_PPM bound to: 3'b001 Parameter GTHE3_CHANNEL_TXPI_VREFSEL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPMARESET_TIME bound to: 5'b00011 Parameter GTHE3_CHANNEL_TXSYNC_MULTILANE bound to: 1'b0 Parameter GTHE3_CHANNEL_TXSYNC_OVRD bound to: 1'b0 Parameter GTHE3_CHANNEL_TXSYNC_SKIP_DA bound to: 1'b0 Parameter GTHE3_CHANNEL_TX_CLK25_DIV bound to: 5 - type: integer Parameter GTHE3_CHANNEL_TX_CLKMUX_EN bound to: 1'b1 Parameter GTHE3_CHANNEL_TX_DATA_WIDTH bound to: 20 - type: integer Parameter GTHE3_CHANNEL_TX_DCD_CFG bound to: 6'b000010 Parameter GTHE3_CHANNEL_TX_DCD_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TX_DEEMPH0 bound to: 6'b000000 Parameter GTHE3_CHANNEL_TX_DEEMPH1 bound to: 6'b000000 Parameter GTHE3_CHANNEL_TX_DIVRESET_TIME bound to: 5'b00001 Parameter GTHE3_CHANNEL_TX_DRIVE_MODE bound to: DIRECT - type: string Parameter GTHE3_CHANNEL_TX_EIDLE_ASSERT_DELAY bound to: 3'b100 Parameter GTHE3_CHANNEL_TX_EIDLE_DEASSERT_DELAY bound to: 3'b011 Parameter GTHE3_CHANNEL_TX_EML_PHI_TUNE bound to: 1'b0 Parameter GTHE3_CHANNEL_TX_FABINT_USRCLK_FLOP bound to: 1'b0 Parameter GTHE3_CHANNEL_TX_IDLE_DATA_ZERO bound to: 1'b0 Parameter GTHE3_CHANNEL_TX_INT_DATAWIDTH bound to: 0 - type: integer Parameter GTHE3_CHANNEL_TX_LOOPBACK_DRIVE_HIZ bound to: FALSE - type: string Parameter GTHE3_CHANNEL_TX_MAINCURSOR_SEL bound to: 1'b0 Parameter GTHE3_CHANNEL_TX_MARGIN_FULL_0 bound to: 7'b1001111 Parameter GTHE3_CHANNEL_TX_MARGIN_FULL_1 bound to: 7'b1001110 Parameter GTHE3_CHANNEL_TX_MARGIN_FULL_2 bound to: 7'b1001100 Parameter GTHE3_CHANNEL_TX_MARGIN_FULL_3 bound to: 7'b1001010 Parameter GTHE3_CHANNEL_TX_MARGIN_FULL_4 bound to: 7'b1001000 Parameter GTHE3_CHANNEL_TX_MARGIN_LOW_0 bound to: 7'b1000110 Parameter GTHE3_CHANNEL_TX_MARGIN_LOW_1 bound to: 7'b1000101 Parameter GTHE3_CHANNEL_TX_MARGIN_LOW_2 bound to: 7'b1000011 Parameter GTHE3_CHANNEL_TX_MARGIN_LOW_3 bound to: 7'b1000010 Parameter GTHE3_CHANNEL_TX_MARGIN_LOW_4 bound to: 7'b1000000 Parameter GTHE3_CHANNEL_TX_MODE_SEL bound to: 3'b000 Parameter GTHE3_CHANNEL_TX_PMADATA_OPT bound to: 1'b0 Parameter GTHE3_CHANNEL_TX_PMA_POWER_SAVE bound to: 1'b0 Parameter GTHE3_CHANNEL_TX_PROGCLK_SEL bound to: CPLL - type: string Parameter GTHE3_CHANNEL_TX_PROGDIV_CFG bound to: 20.000000 - type: double Parameter GTHE3_CHANNEL_TX_QPI_STATUS_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TX_RXDETECT_CFG bound to: 14'b00000000110010 Parameter GTHE3_CHANNEL_TX_RXDETECT_REF bound to: 3'b100 Parameter GTHE3_CHANNEL_TX_SAMPLE_PERIOD bound to: 3'b111 Parameter GTHE3_CHANNEL_TX_SARC_LPBK_ENB bound to: 1'b0 Parameter GTHE3_CHANNEL_TX_XCLK_SEL bound to: TXOUT - type: string Parameter GTHE3_CHANNEL_USE_PCS_CLK_PHASE_SEL bound to: 1'b0 Parameter GTHE3_CHANNEL_WB_MODE bound to: 2'b00 Parameter GTHE3_CHANNEL_CFGRESET_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_CLKRSVD0_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_CLKRSVD1_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_CPLLLOCKDETCLK_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_CPLLLOCKEN_VAL bound to: 1'b1 Parameter GTHE3_CHANNEL_CPLLPD_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_CPLLREFCLKSEL_VAL bound to: 3'b001 Parameter GTHE3_CHANNEL_CPLLRESET_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_DMONFIFORESET_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_DMONITORCLK_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_DRPADDR_VAL bound to: 9'b000000000 Parameter GTHE3_CHANNEL_DRPCLK_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_DRPDI_VAL bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_DRPEN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_DRPWE_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_EVODDPHICALDONE_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_EVODDPHICALSTART_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_EVODDPHIDRDEN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_EVODDPHIDWREN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_EVODDPHIXRDEN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_EVODDPHIXWREN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_EYESCANMODE_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_EYESCANRESET_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_EYESCANTRIGGER_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_GTGREFCLK_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_GTHRXN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_GTHRXP_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_GTNORTHREFCLK0_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_GTNORTHREFCLK1_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_GTREFCLK0_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_GTREFCLK1_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_GTRESETSEL_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_GTRSVD_VAL bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_GTRXRESET_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_GTSOUTHREFCLK0_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_GTSOUTHREFCLK1_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_GTTXRESET_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_LOOPBACK_VAL bound to: 3'b000 Parameter GTHE3_CHANNEL_LPBKRXTXSEREN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_LPBKTXRXSEREN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_PCIEEQRXEQADAPTDONE_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_PCIERSTIDLE_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_PCIERSTTXSYNCSTART_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_PCIEUSERRATEDONE_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_PCSRSVDIN_VAL bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_PCSRSVDIN2_VAL bound to: 5'b00000 Parameter GTHE3_CHANNEL_PMARSVDIN_VAL bound to: 5'b00000 Parameter GTHE3_CHANNEL_QPLL0CLK_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_QPLL0REFCLK_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_QPLL1CLK_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_QPLL1REFCLK_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RESETOVRD_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RSTCLKENTX_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RX8B10BEN_VAL bound to: 1'b1 Parameter GTHE3_CHANNEL_RXBUFRESET_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXCDRFREQRESET_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXCDRHOLD_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXCDROVRDEN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXCDRRESET_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXCDRRESETRSV_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXCHBONDEN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXCHBONDI_VAL bound to: 5'b00000 Parameter GTHE3_CHANNEL_RXCHBONDLEVEL_VAL bound to: 3'b000 Parameter GTHE3_CHANNEL_RXCHBONDMASTER_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXCHBONDSLAVE_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXCOMMADETEN_VAL bound to: 1'b1 Parameter GTHE3_CHANNEL_RXDFEAGCCTRL_VAL bound to: 2'b01 Parameter GTHE3_CHANNEL_RXDFEAGCHOLD_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFEAGCOVRDEN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFELFHOLD_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFELFOVRDEN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFELPMRESET_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP10HOLD_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP10OVRDEN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP11HOLD_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP11OVRDEN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP12HOLD_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP12OVRDEN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP13HOLD_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP13OVRDEN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP14HOLD_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP14OVRDEN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP15HOLD_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP15OVRDEN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP2HOLD_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP2OVRDEN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP3HOLD_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP3OVRDEN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP4HOLD_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP4OVRDEN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP5HOLD_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP5OVRDEN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP6HOLD_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP6OVRDEN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP7HOLD_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP7OVRDEN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP8HOLD_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP8OVRDEN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP9HOLD_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP9OVRDEN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFEUTHOLD_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFEUTOVRDEN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFEVPHOLD_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFEVPOVRDEN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFEVSEN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFEXYDEN_VAL bound to: 1'b1 Parameter GTHE3_CHANNEL_RXDLYBYPASS_VAL bound to: 1'b1 Parameter GTHE3_CHANNEL_RXDLYEN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDLYOVRDEN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDLYSRESET_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXELECIDLEMODE_VAL bound to: 2'b11 Parameter GTHE3_CHANNEL_RXGEARBOXSLIP_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXLATCLK_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXLPMEN_VAL bound to: 1'b1 Parameter GTHE3_CHANNEL_RXLPMGCHOLD_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXLPMGCOVRDEN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXLPMHFHOLD_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXLPMHFOVRDEN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXLPMLFHOLD_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXLPMLFKLOVRDEN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXLPMOSHOLD_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXLPMOSOVRDEN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXMCOMMAALIGNEN_VAL bound to: 1'b1 Parameter GTHE3_CHANNEL_RXMONITORSEL_VAL bound to: 2'b00 Parameter GTHE3_CHANNEL_RXOOBRESET_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXOSCALRESET_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXOSHOLD_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXOSINTCFG_VAL bound to: 4'b1101 Parameter GTHE3_CHANNEL_RXOSINTEN_VAL bound to: 1'b1 Parameter GTHE3_CHANNEL_RXOSINTHOLD_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXOSINTOVRDEN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXOSINTSTROBE_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXOSINTTESTOVRDEN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXOSOVRDEN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXOUTCLKSEL_VAL bound to: 3'b010 Parameter GTHE3_CHANNEL_RXPCOMMAALIGNEN_VAL bound to: 1'b1 Parameter GTHE3_CHANNEL_RXPCSRESET_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXPD_VAL bound to: 2'b00 Parameter GTHE3_CHANNEL_RXPHALIGN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXPHALIGNEN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXPHDLYPD_VAL bound to: 1'b1 Parameter GTHE3_CHANNEL_RXPHDLYRESET_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXPHOVRDEN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXPLLCLKSEL_VAL bound to: 2'b00 Parameter GTHE3_CHANNEL_RXPMARESET_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXPOLARITY_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXPRBSCNTRESET_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXPRBSSEL_VAL bound to: 4'b0000 Parameter GTHE3_CHANNEL_RXPROGDIVRESET_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXQPIEN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXRATE_VAL bound to: 3'b000 Parameter GTHE3_CHANNEL_RXRATEMODE_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXSLIDE_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXSLIPOUTCLK_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXSLIPPMA_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXSYNCALLIN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXSYNCIN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXSYNCMODE_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXSYSCLKSEL_VAL bound to: 2'b00 Parameter GTHE3_CHANNEL_RXUSERRDY_VAL bound to: 1'b1 Parameter GTHE3_CHANNEL_RXUSRCLK_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_RXUSRCLK2_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_SIGVALIDCLK_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_TSTIN_VAL bound to: 20'b00000000000000000000 Parameter GTHE3_CHANNEL_TX8B10BBYPASS_VAL bound to: 8'b00000000 Parameter GTHE3_CHANNEL_TX8B10BEN_VAL bound to: 1'b1 Parameter GTHE3_CHANNEL_TXBUFDIFFCTRL_VAL bound to: 3'b000 Parameter GTHE3_CHANNEL_TXCOMINIT_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXCOMSAS_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXCOMWAKE_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXCTRL0_VAL bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_TXCTRL1_VAL bound to: 16'b0000000000000000 Parameter GTHE3_CHANNEL_TXCTRL2_VAL bound to: 8'b00000000 Parameter GTHE3_CHANNEL_TXDATA_VAL bound to: 128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 Parameter GTHE3_CHANNEL_TXDATAEXTENDRSVD_VAL bound to: 8'b00000000 Parameter GTHE3_CHANNEL_TXDEEMPH_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXDETECTRX_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXDIFFCTRL_VAL bound to: 4'b1100 Parameter GTHE3_CHANNEL_TXDIFFPD_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXDLYBYPASS_VAL bound to: 1'b1 Parameter GTHE3_CHANNEL_TXDLYEN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXDLYHOLD_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXDLYOVRDEN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXDLYSRESET_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXDLYUPDOWN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXELECIDLE_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXHEADER_VAL bound to: 6'b000000 Parameter GTHE3_CHANNEL_TXINHIBIT_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXLATCLK_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXMAINCURSOR_VAL bound to: 7'b1000000 Parameter GTHE3_CHANNEL_TXMARGIN_VAL bound to: 3'b000 Parameter GTHE3_CHANNEL_TXOUTCLKSEL_VAL bound to: 3'b101 Parameter GTHE3_CHANNEL_TXPCSRESET_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPD_VAL bound to: 2'b00 Parameter GTHE3_CHANNEL_TXPDELECIDLEMODE_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPHALIGN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPHALIGNEN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPHDLYPD_VAL bound to: 1'b1 Parameter GTHE3_CHANNEL_TXPHDLYRESET_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPHDLYTSTCLK_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPHINIT_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPHOVRDEN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPIPPMEN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPIPPMOVRDEN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPIPPMPD_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPIPPMSEL_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPIPPMSTEPSIZE_VAL bound to: 5'b00000 Parameter GTHE3_CHANNEL_TXPISOPD_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPLLCLKSEL_VAL bound to: 2'b00 Parameter GTHE3_CHANNEL_TXPMARESET_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPOLARITY_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPOSTCURSOR_VAL bound to: 5'b00000 Parameter GTHE3_CHANNEL_TXPOSTCURSORINV_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPRBSFORCEERR_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPRBSSEL_VAL bound to: 4'b0000 Parameter GTHE3_CHANNEL_TXPRECURSOR_VAL bound to: 5'b00000 Parameter GTHE3_CHANNEL_TXPRECURSORINV_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPROGDIVRESET_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXQPIBIASEN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXQPISTRONGPDOWN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXQPIWEAKPUP_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXRATE_VAL bound to: 3'b000 Parameter GTHE3_CHANNEL_TXRATEMODE_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXSEQUENCE_VAL bound to: 7'b0000000 Parameter GTHE3_CHANNEL_TXSWING_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXSYNCALLIN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXSYNCIN_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXSYNCMODE_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXSYSCLKSEL_VAL bound to: 2'b00 Parameter GTHE3_CHANNEL_TXUSERRDY_VAL bound to: 1'b1 Parameter GTHE3_CHANNEL_TXUSRCLK_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_TXUSRCLK2_VAL bound to: 1'b0 Parameter GTHE3_CHANNEL_CFGRESET_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_CLKRSVD0_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_CLKRSVD1_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_CPLLLOCKDETCLK_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_CPLLLOCKEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_CPLLPD_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_CPLLREFCLKSEL_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_CPLLRESET_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_DMONFIFORESET_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_DMONITORCLK_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_DRPADDR_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_DRPCLK_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_DRPDI_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_DRPEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_DRPWE_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_EVODDPHICALDONE_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_EVODDPHICALSTART_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_EVODDPHIDRDEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_EVODDPHIDWREN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_EVODDPHIXRDEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_EVODDPHIXWREN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_EYESCANMODE_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_EYESCANRESET_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_EYESCANTRIGGER_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_GTGREFCLK_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_GTHRXN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_GTHRXP_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_GTNORTHREFCLK0_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_GTNORTHREFCLK1_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_GTREFCLK0_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_GTREFCLK1_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_GTRESETSEL_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_GTRSVD_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_GTRXRESET_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_GTSOUTHREFCLK0_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_GTSOUTHREFCLK1_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_GTTXRESET_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_LOOPBACK_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_LPBKRXTXSEREN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_LPBKTXRXSEREN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_PCIEEQRXEQADAPTDONE_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_PCIERSTIDLE_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_PCIERSTTXSYNCSTART_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_PCIEUSERRATEDONE_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_PCSRSVDIN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_PCSRSVDIN2_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_PMARSVDIN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_QPLL0CLK_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_QPLL0REFCLK_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_QPLL1CLK_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_QPLL1REFCLK_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RESETOVRD_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RSTCLKENTX_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RX8B10BEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXBUFRESET_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXCDRFREQRESET_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXCDRHOLD_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXCDROVRDEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXCDRRESET_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXCDRRESETRSV_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXCHBONDEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXCHBONDI_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXCHBONDLEVEL_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXCHBONDMASTER_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXCHBONDSLAVE_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXCOMMADETEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFEAGCCTRL_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFEAGCHOLD_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFEAGCOVRDEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFELFHOLD_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFELFOVRDEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFELPMRESET_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP10HOLD_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP10OVRDEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP11HOLD_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP11OVRDEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP12HOLD_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP12OVRDEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP13HOLD_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP13OVRDEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP14HOLD_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP14OVRDEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP15HOLD_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP15OVRDEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP2HOLD_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP2OVRDEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP3HOLD_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP3OVRDEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP4HOLD_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP4OVRDEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP5HOLD_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP5OVRDEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP6HOLD_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP6OVRDEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP7HOLD_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP7OVRDEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP8HOLD_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP8OVRDEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP9HOLD_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFETAP9OVRDEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFEUTHOLD_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFEUTOVRDEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFEVPHOLD_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFEVPOVRDEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFEVSEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDFEXYDEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDLYBYPASS_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDLYEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDLYOVRDEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXDLYSRESET_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXELECIDLEMODE_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXGEARBOXSLIP_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXLATCLK_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXLPMEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXLPMGCHOLD_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXLPMGCOVRDEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXLPMHFHOLD_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXLPMHFOVRDEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXLPMLFHOLD_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXLPMLFKLOVRDEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXLPMOSHOLD_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXLPMOSOVRDEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXMCOMMAALIGNEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXMONITORSEL_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXOOBRESET_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXOSCALRESET_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXOSHOLD_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXOSINTCFG_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXOSINTEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXOSINTHOLD_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXOSINTOVRDEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXOSINTSTROBE_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXOSINTTESTOVRDEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXOSOVRDEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXOUTCLKSEL_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXPCOMMAALIGNEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXPCSRESET_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXPD_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXPHALIGN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXPHALIGNEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXPHDLYPD_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXPHDLYRESET_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXPHOVRDEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXPLLCLKSEL_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXPMARESET_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXPOLARITY_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXPRBSCNTRESET_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXPRBSSEL_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXPROGDIVRESET_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXQPIEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXRATE_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXRATEMODE_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXSLIDE_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXSLIPOUTCLK_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXSLIPPMA_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXSYNCALLIN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXSYNCIN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXSYNCMODE_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXSYSCLKSEL_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXUSERRDY_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXUSRCLK_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_RXUSRCLK2_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_SIGVALIDCLK_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TSTIN_TIE_EN bound to: 1'b1 Parameter GTHE3_CHANNEL_TX8B10BBYPASS_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TX8B10BEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXBUFDIFFCTRL_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXCOMINIT_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXCOMSAS_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXCOMWAKE_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXCTRL0_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXCTRL1_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXCTRL2_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXDATA_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXDATAEXTENDRSVD_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXDEEMPH_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXDETECTRX_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXDIFFCTRL_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXDIFFPD_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXDLYBYPASS_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXDLYEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXDLYHOLD_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXDLYOVRDEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXDLYSRESET_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXDLYUPDOWN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXELECIDLE_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXHEADER_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXINHIBIT_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXLATCLK_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXMAINCURSOR_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXMARGIN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXOUTCLKSEL_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPCSRESET_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPD_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPDELECIDLEMODE_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPHALIGN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPHALIGNEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPHDLYPD_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPHDLYRESET_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPHDLYTSTCLK_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPHINIT_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPHOVRDEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPIPPMEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPIPPMOVRDEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPIPPMPD_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPIPPMSEL_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPIPPMSTEPSIZE_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPISOPD_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPLLCLKSEL_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPMARESET_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPOLARITY_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPOSTCURSOR_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPOSTCURSORINV_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPRBSFORCEERR_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPRBSSEL_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPRECURSOR_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPRECURSORINV_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXPROGDIVRESET_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXQPIBIASEN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXQPISTRONGPDOWN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXQPIWEAKPUP_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXRATE_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXRATEMODE_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXSEQUENCE_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXSWING_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXSYNCALLIN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXSYNCIN_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXSYNCMODE_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXSYSCLKSEL_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXUSERRDY_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXUSRCLK_TIE_EN bound to: 1'b0 Parameter GTHE3_CHANNEL_TXUSRCLK2_TIE_EN bound to: 1'b0 INFO: [Synth 8-6157] synthesizing module 'GTHE3_CHANNEL' [D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:15652] Parameter ACJTAG_DEBUG_MODE bound to: 1'b0 Parameter ACJTAG_MODE bound to: 1'b0 Parameter ACJTAG_RESET bound to: 1'b0 Parameter ADAPT_CFG0 bound to: 16'b1111100000000000 Parameter ADAPT_CFG1 bound to: 16'b0000000000000000 Parameter ALIGN_COMMA_DOUBLE bound to: FALSE - type: string Parameter ALIGN_COMMA_ENABLE bound to: 10'b1111111111 Parameter ALIGN_COMMA_WORD bound to: 2 - type: integer Parameter ALIGN_MCOMMA_DET bound to: TRUE - type: string Parameter ALIGN_MCOMMA_VALUE bound to: 10'b1010000011 Parameter ALIGN_PCOMMA_DET bound to: TRUE - type: string Parameter ALIGN_PCOMMA_VALUE bound to: 10'b0101111100 Parameter A_RXOSCALRESET bound to: 1'b0 Parameter A_RXPROGDIVRESET bound to: 1'b0 Parameter A_TXPROGDIVRESET bound to: 1'b0 Parameter CBCC_DATA_SOURCE_SEL bound to: DECODED - type: string Parameter CDR_SWAP_MODE_EN bound to: 1'b0 Parameter CHAN_BOND_KEEP_ALIGN bound to: FALSE - type: string Parameter CHAN_BOND_MAX_SKEW bound to: 1 - type: integer Parameter CHAN_BOND_SEQ_1_1 bound to: 10'b0000000000 Parameter CHAN_BOND_SEQ_1_2 bound to: 10'b0000000000 Parameter CHAN_BOND_SEQ_1_3 bound to: 10'b0000000000 Parameter CHAN_BOND_SEQ_1_4 bound to: 10'b0000000000 Parameter CHAN_BOND_SEQ_1_ENABLE bound to: 4'b1111 Parameter CHAN_BOND_SEQ_2_1 bound to: 10'b0000000000 Parameter CHAN_BOND_SEQ_2_2 bound to: 10'b0000000000 Parameter CHAN_BOND_SEQ_2_3 bound to: 10'b0000000000 Parameter CHAN_BOND_SEQ_2_4 bound to: 10'b0000000000 Parameter CHAN_BOND_SEQ_2_ENABLE bound to: 4'b1111 Parameter CHAN_BOND_SEQ_2_USE bound to: FALSE - type: string Parameter CHAN_BOND_SEQ_LEN bound to: 1 - type: integer Parameter CLK_CORRECT_USE bound to: TRUE - type: string Parameter CLK_COR_KEEP_IDLE bound to: FALSE - type: string Parameter CLK_COR_MAX_LAT bound to: 15 - type: integer Parameter CLK_COR_MIN_LAT bound to: 12 - type: integer Parameter CLK_COR_PRECEDENCE bound to: TRUE - type: string Parameter CLK_COR_REPEAT_WAIT bound to: 0 - type: integer Parameter CLK_COR_SEQ_1_1 bound to: 10'b0110111100 Parameter CLK_COR_SEQ_1_2 bound to: 10'b0001010000 Parameter CLK_COR_SEQ_1_3 bound to: 10'b0000000000 Parameter CLK_COR_SEQ_1_4 bound to: 10'b0000000000 Parameter CLK_COR_SEQ_1_ENABLE bound to: 4'b1111 Parameter CLK_COR_SEQ_2_1 bound to: 10'b0110111100 Parameter CLK_COR_SEQ_2_2 bound to: 10'b0010110101 Parameter CLK_COR_SEQ_2_3 bound to: 10'b0000000000 Parameter CLK_COR_SEQ_2_4 bound to: 10'b0000000000 Parameter CLK_COR_SEQ_2_ENABLE bound to: 4'b1111 Parameter CLK_COR_SEQ_2_USE bound to: TRUE - type: string Parameter CLK_COR_SEQ_LEN bound to: 2 - type: integer Parameter CPLL_CFG0 bound to: 16'b0110011111111000 Parameter CPLL_CFG1 bound to: 16'b1010010010101100 Parameter CPLL_CFG2 bound to: 16'b0000000000000111 Parameter CPLL_CFG3 bound to: 6'b000000 Parameter CPLL_FBDIV bound to: 5 - type: integer Parameter CPLL_FBDIV_45 bound to: 4 - type: integer Parameter CPLL_INIT_CFG0 bound to: 16'b0000001010110010 Parameter CPLL_INIT_CFG1 bound to: 8'b00000000 Parameter CPLL_LOCK_CFG bound to: 16'b0000000111101000 Parameter CPLL_REFCLK_DIV bound to: 1 - type: integer Parameter DDI_CTRL bound to: 2'b00 Parameter DDI_REALIGN_WAIT bound to: 15 - type: integer Parameter DEC_MCOMMA_DETECT bound to: TRUE - type: string Parameter DEC_PCOMMA_DETECT bound to: TRUE - type: string Parameter DEC_VALID_COMMA_ONLY bound to: FALSE - type: string Parameter DFE_D_X_REL_POS bound to: 1'b0 Parameter DFE_VCM_COMP_EN bound to: 1'b0 Parameter DMONITOR_CFG0 bound to: 10'b0000000000 Parameter DMONITOR_CFG1 bound to: 8'b00000000 Parameter ES_CLK_PHASE_SEL bound to: 1'b0 Parameter ES_CONTROL bound to: 6'b000000 Parameter ES_ERRDET_EN bound to: FALSE - type: string Parameter ES_EYE_SCAN_EN bound to: FALSE - type: string Parameter ES_HORZ_OFFSET bound to: 12'b000000000000 Parameter ES_PMA_CFG bound to: 10'b0000000000 Parameter ES_PRESCALE bound to: 5'b00000 Parameter ES_QUALIFIER0 bound to: 16'b0000000000000000 Parameter ES_QUALIFIER1 bound to: 16'b0000000000000000 Parameter ES_QUALIFIER2 bound to: 16'b0000000000000000 Parameter ES_QUALIFIER3 bound to: 16'b0000000000000000 Parameter ES_QUALIFIER4 bound to: 16'b0000000000000000 Parameter ES_QUAL_MASK0 bound to: 16'b0000000000000000 Parameter ES_QUAL_MASK1 bound to: 16'b0000000000000000 Parameter ES_QUAL_MASK2 bound to: 16'b0000000000000000 Parameter ES_QUAL_MASK3 bound to: 16'b0000000000000000 Parameter ES_QUAL_MASK4 bound to: 16'b0000000000000000 Parameter ES_SDATA_MASK0 bound to: 16'b0000000000000000 Parameter ES_SDATA_MASK1 bound to: 16'b0000000000000000 Parameter ES_SDATA_MASK2 bound to: 16'b0000000000000000 Parameter ES_SDATA_MASK3 bound to: 16'b0000000000000000 Parameter ES_SDATA_MASK4 bound to: 16'b0000000000000000 Parameter EVODD_PHI_CFG bound to: 11'b00000000000 Parameter EYE_SCAN_SWAP_EN bound to: 1'b0 Parameter FTS_DESKEW_SEQ_ENABLE bound to: 4'b1111 Parameter FTS_LANE_DESKEW_CFG bound to: 4'b1111 Parameter FTS_LANE_DESKEW_EN bound to: FALSE - type: string Parameter GEARBOX_MODE bound to: 5'b00000 Parameter GM_BIAS_SELECT bound to: 1'b0 Parameter LOCAL_MASTER bound to: 1'b1 Parameter OOBDIVCTL bound to: 2'b00 Parameter OOB_PWRUP bound to: 1'b0 Parameter PCI3_AUTO_REALIGN bound to: OVR_1K_BLK - type: string Parameter PCI3_PIPE_RX_ELECIDLE bound to: 1'b0 Parameter PCI3_RX_ASYNC_EBUF_BYPASS bound to: 2'b00 Parameter PCI3_RX_ELECIDLE_EI2_ENABLE bound to: 1'b0 Parameter PCI3_RX_ELECIDLE_H2L_COUNT bound to: 6'b000000 Parameter PCI3_RX_ELECIDLE_H2L_DISABLE bound to: 3'b000 Parameter PCI3_RX_ELECIDLE_HI_COUNT bound to: 6'b000000 Parameter PCI3_RX_ELECIDLE_LP4_DISABLE bound to: 1'b0 Parameter PCI3_RX_FIFO_DISABLE bound to: 1'b0 Parameter PCIE_BUFG_DIV_CTRL bound to: 16'b0001000000000000 Parameter PCIE_RXPCS_CFG_GEN3 bound to: 16'b0000001010100100 Parameter PCIE_RXPMA_CFG bound to: 16'b0000000000001010 Parameter PCIE_TXPCS_CFG_GEN3 bound to: 16'b0010110010100100 Parameter PCIE_TXPMA_CFG bound to: 16'b0000000000001010 Parameter PCS_PCIE_EN bound to: FALSE - type: string Parameter PCS_RSVD0 bound to: 16'b0000000000000000 Parameter PCS_RSVD1 bound to: 3'b000 Parameter PD_TRANS_TIME_FROM_P2 bound to: 12'b000000111100 Parameter PD_TRANS_TIME_NONE_P2 bound to: 8'b00011001 Parameter PD_TRANS_TIME_TO_P2 bound to: 8'b01100100 Parameter PLL_SEL_MODE_GEN12 bound to: 2'b00 Parameter PLL_SEL_MODE_GEN3 bound to: 2'b11 Parameter PMA_RSV1 bound to: 16'b1111000000000000 Parameter PROCESS_PAR bound to: 3'b010 Parameter RATE_SW_USE_DRP bound to: 1'b1 Parameter RESET_POWERSAVE_DISABLE bound to: 1'b0 Parameter RXBUFRESET_TIME bound to: 5'b00011 Parameter RXBUF_ADDR_MODE bound to: FULL - type: string Parameter RXBUF_EIDLE_HI_CNT bound to: 4'b1000 Parameter RXBUF_EIDLE_LO_CNT bound to: 4'b0000 Parameter RXBUF_EN bound to: TRUE - type: string Parameter RXBUF_RESET_ON_CB_CHANGE bound to: TRUE - type: string Parameter RXBUF_RESET_ON_COMMAALIGN bound to: FALSE - type: string Parameter RXBUF_RESET_ON_EIDLE bound to: FALSE - type: string Parameter RXBUF_RESET_ON_RATE_CHANGE bound to: TRUE - type: string Parameter RXBUF_THRESH_OVFLW bound to: 0 - type: integer Parameter RXBUF_THRESH_OVRD bound to: FALSE - type: string Parameter RXBUF_THRESH_UNDFLW bound to: 0 - type: integer Parameter RXCDRFREQRESET_TIME bound to: 5'b00001 Parameter RXCDRPHRESET_TIME bound to: 5'b00001 Parameter RXCDR_CFG0 bound to: 16'b0000000000000000 Parameter RXCDR_CFG0_GEN3 bound to: 16'b0000000000000000 Parameter RXCDR_CFG1 bound to: 16'b0000000000000000 Parameter RXCDR_CFG1_GEN3 bound to: 16'b0000000000000000 Parameter RXCDR_CFG2 bound to: 16'b0000011101000110 Parameter RXCDR_CFG2_GEN3 bound to: 16'b0000011111100110 Parameter RXCDR_CFG3 bound to: 16'b0000000000000000 Parameter RXCDR_CFG3_GEN3 bound to: 16'b0000000000000000 Parameter RXCDR_CFG4 bound to: 16'b0000000000000000 Parameter RXCDR_CFG4_GEN3 bound to: 16'b0000000000000000 Parameter RXCDR_CFG5 bound to: 16'b0000000000000000 Parameter RXCDR_CFG5_GEN3 bound to: 16'b0000000000000000 Parameter RXCDR_FR_RESET_ON_EIDLE bound to: 1'b0 Parameter RXCDR_HOLD_DURING_EIDLE bound to: 1'b0 Parameter RXCDR_LOCK_CFG0 bound to: 16'b0100010010000000 Parameter RXCDR_LOCK_CFG1 bound to: 16'b0101111111111111 Parameter RXCDR_LOCK_CFG2 bound to: 16'b0111011111000011 Parameter RXCDR_PH_RESET_ON_EIDLE bound to: 1'b0 Parameter RXCFOK_CFG0 bound to: 16'b0100000000000000 Parameter RXCFOK_CFG1 bound to: 16'b0000000001100101 Parameter RXCFOK_CFG2 bound to: 16'b0000000000101110 Parameter RXDFELPMRESET_TIME bound to: 7'b0001111 Parameter RXDFELPM_KL_CFG0 bound to: 16'b0000000000000000 Parameter RXDFELPM_KL_CFG1 bound to: 16'b0000000000110010 Parameter RXDFELPM_KL_CFG2 bound to: 16'b0000000000000000 Parameter RXDFE_CFG0 bound to: 16'b0000101000000000 Parameter RXDFE_CFG1 bound to: 16'b0000000000000000 Parameter RXDFE_GC_CFG0 bound to: 16'b0000000000000000 Parameter RXDFE_GC_CFG1 bound to: 16'b0111100001110000 Parameter RXDFE_GC_CFG2 bound to: 16'b0000000000000000 Parameter RXDFE_H2_CFG0 bound to: 16'b0000000000000000 Parameter RXDFE_H2_CFG1 bound to: 16'b0000000000000000 Parameter RXDFE_H3_CFG0 bound to: 16'b0100000000000000 Parameter RXDFE_H3_CFG1 bound to: 16'b0000000000000000 Parameter RXDFE_H4_CFG0 bound to: 16'b0010000000000000 Parameter RXDFE_H4_CFG1 bound to: 16'b0000000000000011 Parameter RXDFE_H5_CFG0 bound to: 16'b0010000000000000 Parameter RXDFE_H5_CFG1 bound to: 16'b0000000000000011 Parameter RXDFE_H6_CFG0 bound to: 16'b0010000000000000 Parameter RXDFE_H6_CFG1 bound to: 16'b0000000000000000 Parameter RXDFE_H7_CFG0 bound to: 16'b0010000000000000 Parameter RXDFE_H7_CFG1 bound to: 16'b0000000000000000 Parameter RXDFE_H8_CFG0 bound to: 16'b0010000000000000 Parameter RXDFE_H8_CFG1 bound to: 16'b0000000000000000 Parameter RXDFE_H9_CFG0 bound to: 16'b0010000000000000 Parameter RXDFE_H9_CFG1 bound to: 16'b0000000000000000 Parameter RXDFE_HA_CFG0 bound to: 16'b0010000000000000 Parameter RXDFE_HA_CFG1 bound to: 16'b0000000000000000 Parameter RXDFE_HB_CFG0 bound to: 16'b0010000000000000 Parameter RXDFE_HB_CFG1 bound to: 16'b0000000000000000 Parameter RXDFE_HC_CFG0 bound to: 16'b0000000000000000 Parameter RXDFE_HC_CFG1 bound to: 16'b0000000000000000 Parameter RXDFE_HD_CFG0 bound to: 16'b0000000000000000 Parameter RXDFE_HD_CFG1 bound to: 16'b0000000000000000 Parameter RXDFE_HE_CFG0 bound to: 16'b0000000000000000 Parameter RXDFE_HE_CFG1 bound to: 16'b0000000000000000 Parameter RXDFE_HF_CFG0 bound to: 16'b0000000000000000 Parameter RXDFE_HF_CFG1 bound to: 16'b0000000000000000 Parameter RXDFE_OS_CFG0 bound to: 16'b1000000000000000 Parameter RXDFE_OS_CFG1 bound to: 16'b0000000000000000 Parameter RXDFE_UT_CFG0 bound to: 16'b1000000000000000 Parameter RXDFE_UT_CFG1 bound to: 16'b0000000000000011 Parameter RXDFE_VP_CFG0 bound to: 16'b1010101000000000 Parameter RXDFE_VP_CFG1 bound to: 16'b0000000000110011 Parameter RXDLY_CFG bound to: 16'b0000000000011111 Parameter RXDLY_LCFG bound to: 16'b0000000000110000 Parameter RXELECIDLE_CFG bound to: Sigcfg_4 - type: string Parameter RXGBOX_FIFO_INIT_RD_ADDR bound to: 4 - type: integer Parameter RXGEARBOX_EN bound to: FALSE - type: string Parameter RXISCANRESET_TIME bound to: 5'b00001 Parameter RXLPM_CFG bound to: 16'b0000000000000000 Parameter RXLPM_GC_CFG bound to: 16'b0001000000000000 Parameter RXLPM_KH_CFG0 bound to: 16'b0000000000000000 Parameter RXLPM_KH_CFG1 bound to: 16'b0000000000000010 Parameter RXLPM_OS_CFG0 bound to: 16'b1000000000000000 Parameter RXLPM_OS_CFG1 bound to: 16'b0000000000000010 Parameter RXOOB_CFG bound to: 9'b000000110 Parameter RXOOB_CLK_CFG bound to: PMA - type: string Parameter RXOSCALRESET_TIME bound to: 5'b00011 Parameter RXOUT_DIV bound to: 4 - type: integer Parameter RXPCSRESET_TIME bound to: 5'b00011 Parameter RXPHBEACON_CFG bound to: 16'b0000000000000000 Parameter RXPHDLY_CFG bound to: 16'b0010000000100000 Parameter RXPHSAMP_CFG bound to: 16'b0010000100000000 Parameter RXPHSLIP_CFG bound to: 16'b0110011000100010 Parameter RXPH_MONITOR_SEL bound to: 5'b00000 Parameter RXPI_CFG0 bound to: 2'b01 Parameter RXPI_CFG1 bound to: 2'b01 Parameter RXPI_CFG2 bound to: 2'b01 Parameter RXPI_CFG3 bound to: 2'b01 Parameter RXPI_CFG4 bound to: 1'b1 Parameter RXPI_CFG5 bound to: 1'b1 Parameter RXPI_CFG6 bound to: 3'b011 Parameter RXPI_LPM bound to: 1'b0 Parameter RXPI_VREFSEL bound to: 1'b0 Parameter RXPMACLK_SEL bound to: DATA - type: string Parameter RXPMARESET_TIME bound to: 5'b00011 Parameter RXPRBS_ERR_LOOPBACK bound to: 1'b0 Parameter RXPRBS_LINKACQ_CNT bound to: 15 - type: integer Parameter RXSLIDE_AUTO_WAIT bound to: 7 - type: integer Parameter RXSLIDE_MODE bound to: OFF - type: string Parameter RXSYNC_MULTILANE bound to: 1'b0 Parameter RXSYNC_OVRD bound to: 1'b0 Parameter RXSYNC_SKIP_DA bound to: 1'b0 Parameter RX_AFE_CM_EN bound to: 1'b0 Parameter RX_BIAS_CFG0 bound to: 16'b0000101010110100 Parameter RX_BUFFER_CFG bound to: 6'b000000 Parameter RX_CAPFF_SARC_ENB bound to: 1'b0 Parameter RX_CLK25_DIV bound to: 5 - type: integer Parameter RX_CLKMUX_EN bound to: 1'b1 Parameter RX_CLK_SLIP_OVRD bound to: 5'b00000 Parameter RX_CM_BUF_CFG bound to: 4'b1010 Parameter RX_CM_BUF_PD bound to: 1'b0 Parameter RX_CM_SEL bound to: 2'b11 Parameter RX_CM_TRIM bound to: 4'b1010 Parameter RX_CTLE3_LPF bound to: 8'b00000001 Parameter RX_DATA_WIDTH bound to: 20 - type: integer Parameter RX_DDI_SEL bound to: 6'b000000 Parameter RX_DEFER_RESET_BUF_EN bound to: TRUE - type: string Parameter RX_DFELPM_CFG0 bound to: 4'b0110 Parameter RX_DFELPM_CFG1 bound to: 1'b1 Parameter RX_DFELPM_KLKH_AGC_STUP_EN bound to: 1'b1 Parameter RX_DFE_AGC_CFG0 bound to: 2'b10 Parameter RX_DFE_AGC_CFG1 bound to: 3'b000 Parameter RX_DFE_KL_LPM_KH_CFG0 bound to: 2'b01 Parameter RX_DFE_KL_LPM_KH_CFG1 bound to: 3'b000 Parameter RX_DFE_KL_LPM_KL_CFG0 bound to: 2'b01 Parameter RX_DFE_KL_LPM_KL_CFG1 bound to: 3'b000 Parameter RX_DFE_LPM_HOLD_DURING_EIDLE bound to: 1'b0 Parameter RX_DISPERR_SEQ_MATCH bound to: TRUE - type: string Parameter RX_DIVRESET_TIME bound to: 5'b00001 Parameter RX_EN_HI_LR bound to: 1'b0 Parameter RX_EYESCAN_VS_CODE bound to: 7'b0000000 Parameter RX_EYESCAN_VS_NEG_DIR bound to: 1'b0 Parameter RX_EYESCAN_VS_RANGE bound to: 2'b00 Parameter RX_EYESCAN_VS_UT_SIGN bound to: 1'b0 Parameter RX_FABINT_USRCLK_FLOP bound to: 1'b0 Parameter RX_INT_DATAWIDTH bound to: 0 - type: integer Parameter RX_PMA_POWER_SAVE bound to: 1'b0 Parameter RX_PROGDIV_CFG bound to: 0.000000 - type: double Parameter RX_SAMPLE_PERIOD bound to: 3'b111 Parameter RX_SIG_VALID_DLY bound to: 11 - type: integer Parameter RX_SUM_DFETAPREP_EN bound to: 1'b0 Parameter RX_SUM_IREF_TUNE bound to: 4'b1100 Parameter RX_SUM_RES_CTRL bound to: 2'b11 Parameter RX_SUM_VCMTUNE bound to: 4'b0000 Parameter RX_SUM_VCM_OVWR bound to: 1'b0 Parameter RX_SUM_VREF_TUNE bound to: 3'b000 Parameter RX_TUNE_AFE_OS bound to: 2'b10 Parameter RX_WIDEMODE_CDR bound to: 1'b0 Parameter RX_XCLK_SEL bound to: RXDES - type: string Parameter SAS_MAX_COM bound to: 64 - type: integer Parameter SAS_MIN_COM bound to: 36 - type: integer Parameter SATA_BURST_SEQ_LEN bound to: 4'b1110 Parameter SATA_BURST_VAL bound to: 3'b100 Parameter SATA_CPLL_CFG bound to: VCO_3000MHZ - type: string Parameter SATA_EIDLE_VAL bound to: 3'b100 Parameter SATA_MAX_BURST bound to: 8 - type: integer Parameter SATA_MAX_INIT bound to: 21 - type: integer Parameter SATA_MAX_WAKE bound to: 7 - type: integer Parameter SATA_MIN_BURST bound to: 4 - type: integer Parameter SATA_MIN_INIT bound to: 12 - type: integer Parameter SATA_MIN_WAKE bound to: 4 - type: integer Parameter SHOW_REALIGN_COMMA bound to: TRUE - type: string Parameter SIM_MODE bound to: FAST - type: string Parameter SIM_RECEIVER_DETECT_PASS bound to: TRUE - type: string Parameter SIM_RESET_SPEEDUP bound to: TRUE - type: string Parameter SIM_TX_EIDLE_DRIVE_LEVEL bound to: 1'b0 Parameter SIM_VERSION bound to: 2 - type: integer Parameter TAPDLY_SET_TX bound to: 2'b00 Parameter TEMPERATUR_PAR bound to: 4'b0010 Parameter TERM_RCAL_CFG bound to: 15'b100001000010000 Parameter TERM_RCAL_OVRD bound to: 3'b000 Parameter TRANS_TIME_RATE bound to: 8'b00001110 Parameter TST_RSV0 bound to: 8'b00000000 Parameter TST_RSV1 bound to: 8'b00000000 Parameter TXBUF_EN bound to: TRUE - type: string Parameter TXBUF_RESET_ON_RATE_CHANGE bound to: TRUE - type: string Parameter TXDLY_CFG bound to: 16'b0000000000001001 Parameter TXDLY_LCFG bound to: 16'b0000000001010000 Parameter TXDRVBIAS_N bound to: 4'b1010 Parameter TXDRVBIAS_P bound to: 4'b1010 Parameter TXFIFO_ADDR_CFG bound to: LOW - type: string Parameter TXGBOX_FIFO_INIT_RD_ADDR bound to: 4 - type: integer Parameter TXGEARBOX_EN bound to: FALSE - type: string Parameter TXOUT_DIV bound to: 4 - type: integer Parameter TXPCSRESET_TIME bound to: 5'b00011 Parameter TXPHDLY_CFG0 bound to: 16'b0010000000100000 Parameter TXPHDLY_CFG1 bound to: 16'b0000000001110101 Parameter TXPH_CFG bound to: 16'b0000100110000000 Parameter TXPH_MONITOR_SEL bound to: 5'b00000 Parameter TXPI_CFG0 bound to: 2'b01 Parameter TXPI_CFG1 bound to: 2'b01 Parameter TXPI_CFG2 bound to: 2'b01 Parameter TXPI_CFG3 bound to: 1'b1 Parameter TXPI_CFG4 bound to: 1'b1 Parameter TXPI_CFG5 bound to: 3'b011 Parameter TXPI_GRAY_SEL bound to: 1'b0 Parameter TXPI_INVSTROBE_SEL bound to: 1'b1 Parameter TXPI_LPM bound to: 1'b0 Parameter TXPI_PPMCLK_SEL bound to: TXUSRCLK2 - type: string Parameter TXPI_PPM_CFG bound to: 8'b00000000 Parameter TXPI_SYNFREQ_PPM bound to: 3'b001 Parameter TXPI_VREFSEL bound to: 1'b0 Parameter TXPMARESET_TIME bound to: 5'b00011 Parameter TXSYNC_MULTILANE bound to: 1'b0 Parameter TXSYNC_OVRD bound to: 1'b0 Parameter TXSYNC_SKIP_DA bound to: 1'b0 Parameter TX_CLK25_DIV bound to: 5 - type: integer Parameter TX_CLKMUX_EN bound to: 1'b1 Parameter TX_DATA_WIDTH bound to: 20 - type: integer Parameter TX_DCD_CFG bound to: 6'b000010 Parameter TX_DCD_EN bound to: 1'b0 Parameter TX_DEEMPH0 bound to: 6'b000000 Parameter TX_DEEMPH1 bound to: 6'b000000 Parameter TX_DIVRESET_TIME bound to: 5'b00001 Parameter TX_DRIVE_MODE bound to: DIRECT - type: string Parameter TX_EIDLE_ASSERT_DELAY bound to: 3'b100 Parameter TX_EIDLE_DEASSERT_DELAY bound to: 3'b011 Parameter TX_EML_PHI_TUNE bound to: 1'b0 Parameter TX_FABINT_USRCLK_FLOP bound to: 1'b0 Parameter TX_IDLE_DATA_ZERO bound to: 1'b0 Parameter TX_INT_DATAWIDTH bound to: 0 - type: integer Parameter TX_LOOPBACK_DRIVE_HIZ bound to: FALSE - type: string Parameter TX_MAINCURSOR_SEL bound to: 1'b0 Parameter TX_MARGIN_FULL_0 bound to: 7'b1001111 Parameter TX_MARGIN_FULL_1 bound to: 7'b1001110 Parameter TX_MARGIN_FULL_2 bound to: 7'b1001100 Parameter TX_MARGIN_FULL_3 bound to: 7'b1001010 Parameter TX_MARGIN_FULL_4 bound to: 7'b1001000 Parameter TX_MARGIN_LOW_0 bound to: 7'b1000110 Parameter TX_MARGIN_LOW_1 bound to: 7'b1000101 Parameter TX_MARGIN_LOW_2 bound to: 7'b1000011 Parameter TX_MARGIN_LOW_3 bound to: 7'b1000010 Parameter TX_MARGIN_LOW_4 bound to: 7'b1000000 Parameter TX_MODE_SEL bound to: 3'b000 Parameter TX_PMADATA_OPT bound to: 1'b0 Parameter TX_PMA_POWER_SAVE bound to: 1'b0 Parameter TX_PROGCLK_SEL bound to: CPLL - type: string Parameter TX_PROGDIV_CFG bound to: 20.000000 - type: double Parameter TX_QPI_STATUS_EN bound to: 1'b0 Parameter TX_RXDETECT_CFG bound to: 14'b00000000110010 Parameter TX_RXDETECT_REF bound to: 3'b100 Parameter TX_SAMPLE_PERIOD bound to: 3'b111 Parameter TX_SARC_LPBK_ENB bound to: 1'b0 Parameter TX_XCLK_SEL bound to: TXOUT - type: string Parameter USE_PCS_CLK_PHASE_SEL bound to: 1'b0 Parameter WB_MODE bound to: 2'b00 INFO: [Synth 8-6155] done synthesizing module 'GTHE3_CHANNEL' (9#1) [D:/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:15652] INFO: [Synth 8-6155] done synthesizing module 'gtwizard_ultrascale_v1_7_9_gthe3_channel' (10#1) [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/ip_0/synth/gtwizard_ultrascale_v1_7_gthe3_channel.v:55] INFO: [Synth 8-6155] done synthesizing module 'gig_ethernet_pcs_pma_0_gt_gthe3_channel_wrapper' (11#1) [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/ip_0/synth/gig_ethernet_pcs_pma_0_gt_gthe3_channel_wrapper.v:56] INFO: [Synth 8-6157] synthesizing module 'gtwizard_ultrascale_v1_7_9_bit_synchronizer' [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/ip_0/hdl/gtwizard_ultrascale_v1_7_bit_sync.v:55] Parameter INITIALIZE bound to: 5'b00000 Parameter FREQUENCY bound to: 512 - type: integer INFO: [Synth 8-6155] done synthesizing module 'gtwizard_ultrascale_v1_7_9_bit_synchronizer' (12#1) [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/ip_0/hdl/gtwizard_ultrascale_v1_7_bit_sync.v:55] INFO: [Synth 8-6157] synthesizing module 'gtwizard_ultrascale_v1_7_9_gtwiz_reset' [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/ip_0/hdl/gtwizard_ultrascale_v1_7_gtwiz_reset.v:55] Parameter P_FREERUN_FREQUENCY bound to: 50.000000 - type: double Parameter P_USE_CPLL_CAL bound to: 0 - type: integer Parameter P_TX_PLL_TYPE bound to: 2 - type: integer Parameter P_RX_PLL_TYPE bound to: 2 - type: integer Parameter P_RX_LINE_RATE bound to: 1.250000 - type: double Parameter P_CDR_TIMEOUT_FREERUN_CYC bound to: 26'b00000101101001010101000000 Parameter ST_RESET_ALL_INIT bound to: 3'b000 Parameter ST_RESET_ALL_BRANCH bound to: 3'b001 Parameter ST_RESET_ALL_TX_PLL bound to: 3'b010 Parameter ST_RESET_ALL_TX_PLL_WAIT bound to: 3'b011 Parameter ST_RESET_ALL_RX_DP bound to: 3'b100 Parameter ST_RESET_ALL_RX_PLL bound to: 3'b101 Parameter ST_RESET_ALL_RX_WAIT bound to: 3'b110 Parameter ST_RESET_ALL_DONE bound to: 3'b111 Parameter P_TX_PLL_RESET_FREERUN_CYC bound to: 10'b0001100110 Parameter ST_RESET_TX_BRANCH bound to: 3'b000 Parameter ST_RESET_TX_PLL bound to: 3'b001 Parameter ST_RESET_TX_DATAPATH bound to: 3'b010 Parameter ST_RESET_TX_WAIT_LOCK bound to: 3'b011 Parameter ST_RESET_TX_WAIT_USERRDY bound to: 3'b100 Parameter ST_RESET_TX_WAIT_RESETDONE bound to: 3'b101 Parameter ST_RESET_TX_IDLE bound to: 3'b110 Parameter P_RX_PLL_RESET_FREERUN_CYC bound to: 10'b0001100110 Parameter ST_RESET_RX_BRANCH bound to: 3'b000 Parameter ST_RESET_RX_PLL bound to: 3'b001 Parameter ST_RESET_RX_DATAPATH bound to: 3'b010 Parameter ST_RESET_RX_WAIT_LOCK bound to: 3'b011 Parameter ST_RESET_RX_WAIT_CDR bound to: 3'b100 Parameter ST_RESET_RX_WAIT_USERRDY bound to: 3'b101 Parameter ST_RESET_RX_WAIT_RESETDONE bound to: 3'b110 Parameter ST_RESET_RX_IDLE bound to: 3'b111 INFO: [Synth 8-6157] synthesizing module 'gtwizard_ultrascale_v1_7_9_reset_synchronizer' [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/ip_0/hdl/gtwizard_ultrascale_v1_7_reset_sync.v:55] Parameter FREQUENCY bound to: 512 - type: integer INFO: [Synth 8-6155] done synthesizing module 'gtwizard_ultrascale_v1_7_9_reset_synchronizer' (13#1) [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/ip_0/hdl/gtwizard_ultrascale_v1_7_reset_sync.v:55] INFO: [Synth 8-155] case statement is not full and has no default [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/ip_0/hdl/gtwizard_ultrascale_v1_7_gtwiz_reset.v:164] INFO: [Synth 8-6157] synthesizing module 'gtwizard_ultrascale_v1_7_9_reset_inv_synchronizer' [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/ip_0/hdl/gtwizard_ultrascale_v1_7_reset_inv_sync.v:55] Parameter FREQUENCY bound to: 512 - type: integer INFO: [Synth 8-6155] done synthesizing module 'gtwizard_ultrascale_v1_7_9_reset_inv_synchronizer' (14#1) [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/ip_0/hdl/gtwizard_ultrascale_v1_7_reset_inv_sync.v:55] INFO: [Synth 8-6155] done synthesizing module 'gtwizard_ultrascale_v1_7_9_gtwiz_reset' (15#1) [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/ip_0/hdl/gtwizard_ultrascale_v1_7_gtwiz_reset.v:55] INFO: [Synth 8-6157] synthesizing module 'gtwizard_ultrascale_v1_7_9_gtwiz_userdata_tx' [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/ip_0/hdl/gtwizard_ultrascale_v1_7_gtwiz_userdata_tx.v:55] Parameter P_TX_USER_DATA_WIDTH bound to: 16 - type: integer Parameter P_TX_DATA_ENCODING bound to: 1 - type: integer Parameter P_TOTAL_NUMBER_OF_CHANNELS bound to: 1 - type: integer INFO: [Synth 8-6155] done synthesizing module 'gtwizard_ultrascale_v1_7_9_gtwiz_userdata_tx' (16#1) [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/ip_0/hdl/gtwizard_ultrascale_v1_7_gtwiz_userdata_tx.v:55] INFO: [Synth 8-6157] synthesizing module 'gtwizard_ultrascale_v1_7_9_gtwiz_userdata_rx' [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/ip_0/hdl/gtwizard_ultrascale_v1_7_gtwiz_userdata_rx.v:55] Parameter P_RX_USER_DATA_WIDTH bound to: 16 - type: integer Parameter P_RX_DATA_DECODING bound to: 1 - type: integer Parameter P_TOTAL_NUMBER_OF_CHANNELS bound to: 1 - type: integer INFO: [Synth 8-6155] done synthesizing module 'gtwizard_ultrascale_v1_7_9_gtwiz_userdata_rx' (17#1) [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/ip_0/hdl/gtwizard_ultrascale_v1_7_gtwiz_userdata_rx.v:55] INFO: [Synth 8-6155] done synthesizing module 'gig_ethernet_pcs_pma_0_gt_gtwizard_gthe3' (18#1) [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/ip_0/synth/gig_ethernet_pcs_pma_0_gt_gtwizard_gthe3.v:143] INFO: [Synth 8-6155] done synthesizing module 'gig_ethernet_pcs_pma_0_gt_gtwizard_top' (19#1) [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/ip_0/synth/gig_ethernet_pcs_pma_0_gt_gtwizard_top.v:175] INFO: [Synth 8-6155] done synthesizing module 'gig_ethernet_pcs_pma_0_gt' (20#1) [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/ip_0/synth/gig_ethernet_pcs_pma_0_gt.v:62] INFO: [Synth 8-256] done synthesizing module 'gig_ethernet_pcs_pma_0_transceiver' (21#1) [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/transceiver/gig_ethernet_pcs_pma_0_transceiver.vhd:161] Parameter INITIALISE bound to: 2'b00 INFO: [Synth 8-3491] module 'gig_ethernet_pcs_pma_0_sync_block' declared at 'd:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_sync_block.vhd:67' bound to instance 'sync_block_reset_done' of component 'gig_ethernet_pcs_pma_0_sync_block' [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_block.vhd:634] INFO: [Synth 8-638] synthesizing module 'gig_ethernet_pcs_pma_0_sync_block' [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_sync_block.vhd:80] Parameter INITIALISE bound to: 2'b00 Parameter INIT bound to: 1'b0 INFO: [Synth 8-113] binding component instance 'data_sync_reg1' to cell 'FD' [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_sync_block.vhd:113] Parameter INIT bound to: 1'b0 INFO: [Synth 8-113] binding component instance 'data_sync_reg2' to cell 'FD' [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_sync_block.vhd:123] Parameter INIT bound to: 1'b0 INFO: [Synth 8-113] binding component instance 'data_sync_reg3' to cell 'FD' [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_sync_block.vhd:133] Parameter INIT bound to: 1'b0 INFO: [Synth 8-113] binding component instance 'data_sync_reg4' to cell 'FD' [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_sync_block.vhd:143] Parameter INIT bound to: 1'b0 INFO: [Synth 8-113] binding component instance 'data_sync_reg5' to cell 'FD' [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_sync_block.vhd:153] Parameter INIT bound to: 1'b0 INFO: [Synth 8-113] binding component instance 'data_sync_reg6' to cell 'FD' [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_sync_block.vhd:163] INFO: [Synth 8-256] done synthesizing module 'gig_ethernet_pcs_pma_0_sync_block' (22#1) [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_sync_block.vhd:80] INFO: [Synth 8-256] done synthesizing module 'gig_ethernet_pcs_pma_0_block' (23#1) [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_block.vhd:153] INFO: [Synth 8-256] done synthesizing module 'gig_ethernet_pcs_pma_0' (24#1) [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0.vhd:155] --------------------------------------------------------------------------------- Finished RTL Elaboration : Time (s): cpu = 00:00:08 ; elapsed = 00:00:09 . Memory (MB): peak = 1555.922 ; gain = 350.246 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Handling Custom Attributes : Time (s): cpu = 00:00:09 ; elapsed = 00:00:10 . Memory (MB): peak = 1555.922 ; gain = 350.246 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:09 ; elapsed = 00:00:10 . Memory (MB): peak = 1555.922 ; gain = 350.246 --------------------------------------------------------------------------------- Netlist sorting complete. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.078 . Memory (MB): peak = 1555.922 ; gain = 0.000 INFO: [Netlist 29-17] Analyzing 56 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-570] Preparing netlist for logic optimization Processing XDC Constraints Initializing timing engine Parsing XDC File [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_ooc.xdc] for cell 'U0' Finished Parsing XDC File [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_ooc.xdc] for cell 'U0' Parsing XDC File [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/ip_0/synth/gig_ethernet_pcs_pma_0_gt.xdc] for cell 'U0/transceiver_inst/gig_ethernet_pcs_pma_0_gt_i/inst' Finished Parsing XDC File [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/ip_0/synth/gig_ethernet_pcs_pma_0_gt.xdc] for cell 'U0/transceiver_inst/gig_ethernet_pcs_pma_0_gt_i/inst' INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/ip_0/synth/gig_ethernet_pcs_pma_0_gt.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/gig_ethernet_pcs_pma_0_propImpl.xdc]. Resolution: To avoid this warning, move constraints listed in [.Xil/gig_ethernet_pcs_pma_0_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. Parsing XDC File [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/gig_ethernet_pcs_pma_0_board.xdc] for cell 'U0' Finished Parsing XDC File [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/gig_ethernet_pcs_pma_0_board.xdc] for cell 'U0' Parsing XDC File [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_clocks.xdc] for cell 'U0' Finished Parsing XDC File [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0_clocks.xdc] for cell 'U0' INFO: [Timing 38-2] Deriving generated clocks Parsing XDC File [D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.runs/gig_ethernet_pcs_pma_0_synth_1/dont_touch.xdc] Finished Parsing XDC File [D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.runs/gig_ethernet_pcs_pma_0_synth_1/dont_touch.xdc] INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.runs/gig_ethernet_pcs_pma_0_synth_1/dont_touch.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/gig_ethernet_pcs_pma_0_propImpl.xdc]. Resolution: To avoid this warning, move constraints listed in [.Xil/gig_ethernet_pcs_pma_0_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. Parsing XDC File [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0.xdc] for cell 'U0' Finished Parsing XDC File [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0.xdc] for cell 'U0' INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [d:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.srcs/sources_1/ip/gig_ethernet_pcs_pma_0/synth/gig_ethernet_pcs_pma_0.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/gig_ethernet_pcs_pma_0_propImpl.xdc]. Resolution: To avoid this warning, move constraints listed in [.Xil/gig_ethernet_pcs_pma_0_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. Completed Processing XDC Constraints Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1662.414 ; gain = 0.000 INFO: [Project 1-111] Unisim Transformation Summary: A total of 56 instances were transformed. FD => FDRE: 12 instances FDP => FDPE: 42 instances SRL16 => SRL16E: 2 instances Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.056 . Memory (MB): peak = 1662.609 ; gain = 0.195 --------------------------------------------------------------------------------- Finished Constraint Validation : Time (s): cpu = 00:00:15 ; elapsed = 00:00:17 . Memory (MB): peak = 1662.609 ; gain = 456.934 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Loading Part and Timing Information --------------------------------------------------------------------------------- Loading part: xcku115-flva2104-1-c INFO: [Synth 8-6742] Reading net delay rules and data --------------------------------------------------------------------------------- Finished Loading Part and Timing Information : Time (s): cpu = 00:00:15 ; elapsed = 00:00:17 . Memory (MB): peak = 1662.609 ; gain = 456.934 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Applying 'set_property' XDC Constraints --------------------------------------------------------------------------------- Applied set_property KEEP_HIERARCHY = SOFT for U0/transceiver_inst/gig_ethernet_pcs_pma_0_gt_i/inst. (constraint file D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.runs/gig_ethernet_pcs_pma_0_synth_1/dont_touch.xdc, line 13). Applied set_property KEEP_HIERARCHY = SOFT for U0. (constraint file D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.runs/gig_ethernet_pcs_pma_0_synth_1/dont_touch.xdc, line 17). Applied set_property KEEP_HIERARCHY = SOFT for U0/transceiver_inst/gig_ethernet_pcs_pma_0_gt_i. (constraint file auto generated constraint). --------------------------------------------------------------------------------- Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:16 ; elapsed = 00:00:17 . Memory (MB): peak = 1662.609 ; gain = 456.934 --------------------------------------------------------------------------------- INFO: [Synth 8-802] inferred FSM for state register 'STATE_reg' in module 'SYNCHRONISE' INFO: [Synth 8-802] inferred FSM for state register 'USE_ROCKET_IO.TX_RST_SM_reg' in module 'GPCS_PMA_GEN' INFO: [Synth 8-802] inferred FSM for state register 'USE_ROCKET_IO.RX_RST_SM_TXOUTCLK.RX_RST_SM_reg' in module 'GPCS_PMA_GEN' INFO: [Synth 8-802] inferred FSM for state register 'sm_reset_all_reg' in module 'gtwizard_ultrascale_v1_7_9_gtwiz_reset' INFO: [Synth 8-802] inferred FSM for state register 'sm_reset_tx_reg' in module 'gtwizard_ultrascale_v1_7_9_gtwiz_reset' INFO: [Synth 8-802] inferred FSM for state register 'sm_reset_rx_reg' in module 'gtwizard_ultrascale_v1_7_9_gtwiz_reset' --------------------------------------------------------------------------------------------------- State | New Encoding | Previous Encoding --------------------------------------------------------------------------------------------------- loss_of_sync | 0000000000100 | 0000 comma_detect_1 | 0010000000000 | 0001 aquire_sync_1 | 0000000010000 | 0010 comma_detect_2 | 0000000000001 | 0011 aquire_sync_2 | 0000000000010 | 0100 comma_detect_3 | 0000000001000 | 0101 sync_acquired_1 | 1000000000000 | 0110 sync_acquired_2 | 0001000000000 | 0111 sync_acquired_2a | 0100000000000 | 1000 sync_acquired_3 | 0000001000000 | 1001 sync_acquired_3a | 0000100000000 | 1010 sync_acquired_4 | 0000010000000 | 1011 sync_acquired_4a | 0000000100000 | 1100 --------------------------------------------------------------------------------------------------- INFO: [Synth 8-3354] encoded FSM with state register 'STATE_reg' using encoding 'one-hot' in module 'SYNCHRONISE' --------------------------------------------------------------------------------------------------- State | New Encoding | Previous Encoding --------------------------------------------------------------------------------------------------- iSTATE7 | 000000000000001 | 0000 iSTATE6 | 000000000000010 | 0001 iSTATE2 | 000000000000100 | 0010 iSTATE | 000000000001000 | 0011 iSTATE0 | 000000000010000 | 0100 iSTATE13 | 000000000100000 | 0101 iSTATE11 | 000000001000000 | 0110 iSTATE9 | 000000010000000 | 0111 iSTATE10 | 000000100000000 | 1000 iSTATE8 | 000001000000000 | 1001 iSTATE5 | 000010000000000 | 1010 iSTATE3 | 000100000000000 | 1011 iSTATE4 | 001000000000000 | 1100 iSTATE1 | 010000000000000 | 1101 iSTATE12 | 100000000000000 | 1110 * --------------------------------------------------------------------------------------------------- INFO: [Synth 8-3354] encoded FSM with state register 'USE_ROCKET_IO.RX_RST_SM_TXOUTCLK.RX_RST_SM_reg' using encoding 'one-hot' in module 'GPCS_PMA_GEN' --------------------------------------------------------------------------------------------------- State | New Encoding | Previous Encoding --------------------------------------------------------------------------------------------------- iSTATE7 | 000000000000001 | 0000 iSTATE6 | 000000000000010 | 0001 iSTATE2 | 000000000000100 | 0010 iSTATE | 000000000001000 | 0011 iSTATE0 | 000000000010000 | 0100 iSTATE13 | 000000000100000 | 0101 iSTATE11 | 000000001000000 | 0110 iSTATE9 | 000000010000000 | 0111 iSTATE10 | 000000100000000 | 1000 iSTATE8 | 000001000000000 | 1001 iSTATE5 | 000010000000000 | 1010 iSTATE3 | 000100000000000 | 1011 iSTATE4 | 001000000000000 | 1100 iSTATE1 | 010000000000000 | 1101 iSTATE12 | 100000000000000 | 1110 * --------------------------------------------------------------------------------------------------- INFO: [Synth 8-3354] encoded FSM with state register 'USE_ROCKET_IO.TX_RST_SM_reg' using encoding 'one-hot' in module 'GPCS_PMA_GEN' --------------------------------------------------------------------------------------------------- State | New Encoding | Previous Encoding --------------------------------------------------------------------------------------------------- ST_RESET_TX_BRANCH | 000 | 000 ST_RESET_TX_PLL | 001 | 001 ST_RESET_TX_DATAPATH | 010 | 010 ST_RESET_TX_WAIT_LOCK | 011 | 011 ST_RESET_TX_WAIT_USERRDY | 100 | 100 ST_RESET_TX_WAIT_RESETDONE | 101 | 101 ST_RESET_TX_IDLE | 110 | 110 --------------------------------------------------------------------------------------------------- INFO: [Synth 8-3354] encoded FSM with state register 'sm_reset_tx_reg' using encoding 'sequential' in module 'gtwizard_ultrascale_v1_7_9_gtwiz_reset' --------------------------------------------------------------------------------------------------- State | New Encoding | Previous Encoding --------------------------------------------------------------------------------------------------- ST_RESET_RX_BRANCH | 000 | 000 ST_RESET_RX_PLL | 001 | 001 ST_RESET_RX_DATAPATH | 010 | 010 ST_RESET_RX_WAIT_LOCK | 011 | 011 ST_RESET_RX_WAIT_CDR | 100 | 100 ST_RESET_RX_WAIT_USERRDY | 101 | 101 ST_RESET_RX_WAIT_RESETDONE | 110 | 110 ST_RESET_RX_IDLE | 111 | 111 --------------------------------------------------------------------------------------------------- INFO: [Synth 8-3354] encoded FSM with state register 'sm_reset_rx_reg' using encoding 'sequential' in module 'gtwizard_ultrascale_v1_7_9_gtwiz_reset' --------------------------------------------------------------------------------------------------- State | New Encoding | Previous Encoding --------------------------------------------------------------------------------------------------- ST_RESET_ALL_BRANCH | 000 | 001 ST_RESET_ALL_TX_PLL | 001 | 010 ST_RESET_ALL_TX_PLL_WAIT | 010 | 011 ST_RESET_ALL_RX_DP | 011 | 100 ST_RESET_ALL_RX_PLL | 100 | 101 ST_RESET_ALL_RX_WAIT | 101 | 110 iSTATE | 110 | 111 ST_RESET_ALL_INIT | 111 | 000 --------------------------------------------------------------------------------------------------- INFO: [Synth 8-3354] encoded FSM with state register 'sm_reset_all_reg' using encoding 'sequential' in module 'gtwizard_ultrascale_v1_7_9_gtwiz_reset' --------------------------------------------------------------------------------- Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 1662.609 ; gain = 456.934 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start RTL Component Statistics --------------------------------------------------------------------------------- Detailed RTL Component Info : +---Adders : 2 Input 10 Bit Adders := 2 2 Input 3 Bit Adders := 3 2 Input 2 Bit Adders := 2 +---XORs : 2 Input 1 Bit XORs := 4 +---Registers : 16 Bit Registers := 6 10 Bit Registers := 2 8 Bit Registers := 14 5 Bit Registers := 1 4 Bit Registers := 1 3 Bit Registers := 7 2 Bit Registers := 22 1 Bit Registers := 262 +---Muxes : 15 Input 15 Bit Muxes := 2 13 Input 13 Bit Muxes := 1 2 Input 13 Bit Muxes := 18 8 Input 8 Bit Muxes := 1 5 Input 8 Bit Muxes := 1 2 Input 8 Bit Muxes := 7 3 Input 8 Bit Muxes := 2 7 Input 3 Bit Muxes := 2 2 Input 3 Bit Muxes := 7 8 Input 3 Bit Muxes := 1 3 Input 3 Bit Muxes := 1 2 Input 1 Bit Muxes := 32 4 Input 1 Bit Muxes := 2 5 Input 1 Bit Muxes := 1 15 Input 1 Bit Muxes := 2 7 Input 1 Bit Muxes := 13 8 Input 1 Bit Muxes := 26 --------------------------------------------------------------------------------- Finished RTL Component Statistics --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Part Resource Summary --------------------------------------------------------------------------------- Part Resources: DSPs: 5520 (col length:120) BRAMs: 4320 (col length: RAMB18 240 RAMB36 120) --------------------------------------------------------------------------------- Finished Part Resource Summary --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Cross Boundary and Area Optimization --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:20 ; elapsed = 00:00:21 . Memory (MB): peak = 1662.609 ; gain = 456.934 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Applying XDC Timing Constraints --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:27 ; elapsed = 00:00:28 . Memory (MB): peak = 1782.363 ; gain = 576.688 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Timing Optimization --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Timing Optimization : Time (s): cpu = 00:00:27 ; elapsed = 00:00:29 . Memory (MB): peak = 1812.352 ; gain = 606.676 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Technology Mapping --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Technology Mapping : Time (s): cpu = 00:00:27 ; elapsed = 00:00:29 . Memory (MB): peak = 1823.293 ; gain = 617.617 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start IO Insertion --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Flattening Before IO Insertion --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Flattening Before IO Insertion --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Final Netlist Cleanup --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Final Netlist Cleanup --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished IO Insertion : Time (s): cpu = 00:00:31 ; elapsed = 00:00:32 . Memory (MB): peak = 1823.293 ; gain = 617.617 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Instances --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Renaming Generated Instances : Time (s): cpu = 00:00:31 ; elapsed = 00:00:32 . Memory (MB): peak = 1823.293 ; gain = 617.617 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Rebuilding User Hierarchy --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:31 ; elapsed = 00:00:33 . Memory (MB): peak = 1823.293 ; gain = 617.617 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Ports --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Renaming Generated Ports : Time (s): cpu = 00:00:31 ; elapsed = 00:00:33 . Memory (MB): peak = 1823.293 ; gain = 617.617 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Handling Custom Attributes : Time (s): cpu = 00:00:31 ; elapsed = 00:00:33 . Memory (MB): peak = 1823.293 ; gain = 617.617 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Nets --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Renaming Generated Nets : Time (s): cpu = 00:00:31 ; elapsed = 00:00:33 . Memory (MB): peak = 1823.293 ; gain = 617.617 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start ROM, RAM, DSP, Shift Register and Retiming Reporting --------------------------------------------------------------------------------- Static Shift Register Report: +-----------------------------+------------------------------------------------------------------------+--------+-------+--------------+--------------------+-------------------+--------+---------+ |Module Name | RTL Name | Length | Width | Reset Signal | Pull out first Reg | Pull out last Reg | SRL16E | SRLC32E | +-----------------------------+------------------------------------------------------------------------+--------+-------+--------------+--------------------+-------------------+--------+---------+ |gig_ethernet_pcs_pma_v16_2_1 | gpcs_pma_inst/RX_GMII_AT_TXOUTCLK.RECEIVER_TXOUTCLK/RXDATA_REG5_reg[7] | 5 | 8 | NO | NO | NO | 8 | 0 | +-----------------------------+------------------------------------------------------------------------+--------+-------+--------------+--------------------+-------------------+--------+---------+ --------------------------------------------------------------------------------- Finished ROM, RAM, DSP, Shift Register and Retiming Reporting --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Writing Synthesis Report --------------------------------------------------------------------------------- Report BlackBoxes: +-+--------------+----------+ | |BlackBox name |Instances | +-+--------------+----------+ +-+--------------+----------+ Report Cell Usage: +------+--------------+------+ | |Cell |Count | +------+--------------+------+ |1 |CARRY8 | 4| |2 |GTHE3_CHANNEL | 1| |3 |LUT1 | 18| |4 |LUT2 | 45| |5 |LUT3 | 81| |6 |LUT4 | 49| |7 |LUT5 | 51| |8 |LUT6 | 75| |9 |SRL16 | 2| |10 |SRL16E | 8| |11 |FD | 12| |12 |FDCE | 10| |13 |FDP | 42| |14 |FDPE | 44| |15 |FDRE | 464| |16 |FDSE | 26| +------+--------------+------+ --------------------------------------------------------------------------------- Finished Writing Synthesis Report : Time (s): cpu = 00:00:31 ; elapsed = 00:00:33 . Memory (MB): peak = 1823.293 ; gain = 617.617 --------------------------------------------------------------------------------- Synthesis finished with 0 errors, 0 critical warnings and 0 warnings. Synthesis Optimization Runtime : Time (s): cpu = 00:00:20 ; elapsed = 00:00:30 . Memory (MB): peak = 1823.293 ; gain = 510.930 Synthesis Optimization Complete : Time (s): cpu = 00:00:31 ; elapsed = 00:00:33 . Memory (MB): peak = 1823.293 ; gain = 617.617 INFO: [Project 1-571] Translating synthesized netlist Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.031 . Memory (MB): peak = 1823.293 ; gain = 0.000 INFO: [Netlist 29-17] Analyzing 60 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-570] Preparing netlist for logic optimization INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1875.863 ; gain = 0.000 INFO: [Project 1-111] Unisim Transformation Summary: A total of 56 instances were transformed. FD => FDRE: 12 instances FDP => FDPE: 42 instances SRL16 => SRL16E: 2 instances INFO: [Common 17-83] Releasing license: Synthesis 90 Infos, 20 Warnings, 0 Critical Warnings and 0 Errors encountered. synth_design completed successfully synth_design: Time (s): cpu = 00:00:39 ; elapsed = 00:00:42 . Memory (MB): peak = 1875.863 ; gain = 846.648 INFO: [Common 17-1381] The checkpoint 'D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.runs/gig_ethernet_pcs_pma_0_synth_1/gig_ethernet_pcs_pma_0.dcp' has been generated. WARNING: [Common 17-576] 'use_project_ipc' is deprecated. This option is deprecated and no longer used. INFO: [Coretcl 2-1648] Added synthesis output to IP cache for IP gig_ethernet_pcs_pma_0, cache-ID = 7ce07e0c24df68fc INFO: [Coretcl 2-1174] Renamed 44 cell refs. INFO: [Common 17-1381] The checkpoint 'D:/Design_collection/ngFECKU115_ipb/ngFECKU115_ipb.runs/gig_ethernet_pcs_pma_0_synth_1/gig_ethernet_pcs_pma_0.dcp' has been generated. INFO: [runtcl-4] Executing : report_utilization -file gig_ethernet_pcs_pma_0_utilization_synth.rpt -pb gig_ethernet_pcs_pma_0_utilization_synth.pb INFO: [Common 17-206] Exiting Vivado at Fri Mar 12 21:28:49 2021...