-- Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2020.2 (win64) Build 3064766 Wed Nov 18 09:12:45 MST 2020 -- Date : Fri Mar 12 21:28:48 2021 -- Host : baby running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix -- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ gig_ethernet_pcs_pma_0_sim_netlist.vhdl -- Design : gig_ethernet_pcs_pma_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xcku115-flva2104-1-c -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_reset_sync is port ( reset_out : out STD_LOGIC; rxuserclk2 : in STD_LOGIC; gtwiz_reset_rx_done_out : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_reset_sync; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_reset_sync is signal reset_sync_reg1 : STD_LOGIC; signal reset_sync_reg2 : STD_LOGIC; signal reset_sync_reg3 : STD_LOGIC; signal reset_sync_reg4 : STD_LOGIC; signal reset_sync_reg5 : STD_LOGIC; attribute ASYNC_REG : boolean; attribute ASYNC_REG of reset_sync1 : label is std.standard.true; attribute SHREG_EXTRACT : string; attribute SHREG_EXTRACT of reset_sync1 : label is "no"; attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of reset_sync1 : label is "FDP"; attribute box_type : string; attribute box_type of reset_sync1 : label is "PRIMITIVE"; attribute ASYNC_REG of reset_sync2 : label is std.standard.true; attribute SHREG_EXTRACT of reset_sync2 : label is "no"; attribute XILINX_LEGACY_PRIM of reset_sync2 : label is "FDP"; attribute box_type of reset_sync2 : label is "PRIMITIVE"; attribute ASYNC_REG of reset_sync3 : label is std.standard.true; attribute SHREG_EXTRACT of reset_sync3 : label is "no"; attribute XILINX_LEGACY_PRIM of reset_sync3 : label is "FDP"; attribute box_type of reset_sync3 : label is "PRIMITIVE"; attribute ASYNC_REG of reset_sync4 : label is std.standard.true; attribute SHREG_EXTRACT of reset_sync4 : label is "no"; attribute XILINX_LEGACY_PRIM of reset_sync4 : label is "FDP"; attribute box_type of reset_sync4 : label is "PRIMITIVE"; attribute ASYNC_REG of reset_sync5 : label is std.standard.true; attribute SHREG_EXTRACT of reset_sync5 : label is "no"; attribute XILINX_LEGACY_PRIM of reset_sync5 : label is "FDP"; attribute box_type of reset_sync5 : label is "PRIMITIVE"; attribute ASYNC_REG of reset_sync6 : label is std.standard.true; attribute SHREG_EXTRACT of reset_sync6 : label is "no"; attribute XILINX_LEGACY_PRIM of reset_sync6 : label is "FDP"; attribute box_type of reset_sync6 : label is "PRIMITIVE"; begin reset_sync1: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rxuserclk2, CE => '1', D => '0', PRE => gtwiz_reset_rx_done_out(0), Q => reset_sync_reg1 ); reset_sync2: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rxuserclk2, CE => '1', D => reset_sync_reg1, PRE => gtwiz_reset_rx_done_out(0), Q => reset_sync_reg2 ); reset_sync3: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rxuserclk2, CE => '1', D => reset_sync_reg2, PRE => gtwiz_reset_rx_done_out(0), Q => reset_sync_reg3 ); reset_sync4: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rxuserclk2, CE => '1', D => reset_sync_reg3, PRE => gtwiz_reset_rx_done_out(0), Q => reset_sync_reg4 ); reset_sync5: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rxuserclk2, CE => '1', D => reset_sync_reg4, PRE => gtwiz_reset_rx_done_out(0), Q => reset_sync_reg5 ); reset_sync6: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rxuserclk2, CE => '1', D => reset_sync_reg5, PRE => '0', Q => reset_out ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_reset_sync_0 is port ( gtwiz_reset_rx_done_out_int_reg0 : out STD_LOGIC; reset_out : in STD_LOGIC; rxuserclk2 : in STD_LOGIC; SR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_reset_sync_0 : entity is "gig_ethernet_pcs_pma_0_reset_sync"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_reset_sync_0; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_reset_sync_0 is signal reset_sync_reg1 : STD_LOGIC; signal reset_sync_reg2 : STD_LOGIC; signal reset_sync_reg3 : STD_LOGIC; signal reset_sync_reg4 : STD_LOGIC; signal reset_sync_reg5 : STD_LOGIC; signal rxreset_int : STD_LOGIC; attribute ASYNC_REG : boolean; attribute ASYNC_REG of reset_sync1 : label is std.standard.true; attribute SHREG_EXTRACT : string; attribute SHREG_EXTRACT of reset_sync1 : label is "no"; attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of reset_sync1 : label is "FDP"; attribute box_type : string; attribute box_type of reset_sync1 : label is "PRIMITIVE"; attribute ASYNC_REG of reset_sync2 : label is std.standard.true; attribute SHREG_EXTRACT of reset_sync2 : label is "no"; attribute XILINX_LEGACY_PRIM of reset_sync2 : label is "FDP"; attribute box_type of reset_sync2 : label is "PRIMITIVE"; attribute ASYNC_REG of reset_sync3 : label is std.standard.true; attribute SHREG_EXTRACT of reset_sync3 : label is "no"; attribute XILINX_LEGACY_PRIM of reset_sync3 : label is "FDP"; attribute box_type of reset_sync3 : label is "PRIMITIVE"; attribute ASYNC_REG of reset_sync4 : label is std.standard.true; attribute SHREG_EXTRACT of reset_sync4 : label is "no"; attribute XILINX_LEGACY_PRIM of reset_sync4 : label is "FDP"; attribute box_type of reset_sync4 : label is "PRIMITIVE"; attribute ASYNC_REG of reset_sync5 : label is std.standard.true; attribute SHREG_EXTRACT of reset_sync5 : label is "no"; attribute XILINX_LEGACY_PRIM of reset_sync5 : label is "FDP"; attribute box_type of reset_sync5 : label is "PRIMITIVE"; attribute ASYNC_REG of reset_sync6 : label is std.standard.true; attribute SHREG_EXTRACT of reset_sync6 : label is "no"; attribute XILINX_LEGACY_PRIM of reset_sync6 : label is "FDP"; attribute box_type of reset_sync6 : label is "PRIMITIVE"; begin gtwiz_reset_rx_done_out_int_reg_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => rxreset_int, I1 => reset_out, O => gtwiz_reset_rx_done_out_int_reg0 ); reset_sync1: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rxuserclk2, CE => '1', D => '0', PRE => SR(0), Q => reset_sync_reg1 ); reset_sync2: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rxuserclk2, CE => '1', D => reset_sync_reg1, PRE => SR(0), Q => reset_sync_reg2 ); reset_sync3: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rxuserclk2, CE => '1', D => reset_sync_reg2, PRE => SR(0), Q => reset_sync_reg3 ); reset_sync4: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rxuserclk2, CE => '1', D => reset_sync_reg3, PRE => SR(0), Q => reset_sync_reg4 ); reset_sync5: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rxuserclk2, CE => '1', D => reset_sync_reg4, PRE => SR(0), Q => reset_sync_reg5 ); reset_sync6: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rxuserclk2, CE => '1', D => reset_sync_reg5, PRE => '0', Q => rxreset_int ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_reset_sync_1 is port ( gtwiz_reset_tx_done_out_int_reg0 : out STD_LOGIC; gtwiz_reset_tx_done_out : in STD_LOGIC_VECTOR ( 0 to 0 ); userclk : in STD_LOGIC; txreset : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_reset_sync_1 : entity is "gig_ethernet_pcs_pma_0_reset_sync"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_reset_sync_1; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_reset_sync_1 is signal reset_sync_reg1 : STD_LOGIC; signal reset_sync_reg2 : STD_LOGIC; signal reset_sync_reg3 : STD_LOGIC; signal reset_sync_reg4 : STD_LOGIC; signal reset_sync_reg5 : STD_LOGIC; signal txreset_int : STD_LOGIC; attribute ASYNC_REG : boolean; attribute ASYNC_REG of reset_sync1 : label is std.standard.true; attribute SHREG_EXTRACT : string; attribute SHREG_EXTRACT of reset_sync1 : label is "no"; attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of reset_sync1 : label is "FDP"; attribute box_type : string; attribute box_type of reset_sync1 : label is "PRIMITIVE"; attribute ASYNC_REG of reset_sync2 : label is std.standard.true; attribute SHREG_EXTRACT of reset_sync2 : label is "no"; attribute XILINX_LEGACY_PRIM of reset_sync2 : label is "FDP"; attribute box_type of reset_sync2 : label is "PRIMITIVE"; attribute ASYNC_REG of reset_sync3 : label is std.standard.true; attribute SHREG_EXTRACT of reset_sync3 : label is "no"; attribute XILINX_LEGACY_PRIM of reset_sync3 : label is "FDP"; attribute box_type of reset_sync3 : label is "PRIMITIVE"; attribute ASYNC_REG of reset_sync4 : label is std.standard.true; attribute SHREG_EXTRACT of reset_sync4 : label is "no"; attribute XILINX_LEGACY_PRIM of reset_sync4 : label is "FDP"; attribute box_type of reset_sync4 : label is "PRIMITIVE"; attribute ASYNC_REG of reset_sync5 : label is std.standard.true; attribute SHREG_EXTRACT of reset_sync5 : label is "no"; attribute XILINX_LEGACY_PRIM of reset_sync5 : label is "FDP"; attribute box_type of reset_sync5 : label is "PRIMITIVE"; attribute ASYNC_REG of reset_sync6 : label is std.standard.true; attribute SHREG_EXTRACT of reset_sync6 : label is "no"; attribute XILINX_LEGACY_PRIM of reset_sync6 : label is "FDP"; attribute box_type of reset_sync6 : label is "PRIMITIVE"; begin gtwiz_reset_tx_done_out_int_reg_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => txreset_int, I1 => gtwiz_reset_tx_done_out(0), O => gtwiz_reset_tx_done_out_int_reg0 ); reset_sync1: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => userclk, CE => '1', D => '0', PRE => txreset, Q => reset_sync_reg1 ); reset_sync2: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => userclk, CE => '1', D => reset_sync_reg1, PRE => txreset, Q => reset_sync_reg2 ); reset_sync3: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => userclk, CE => '1', D => reset_sync_reg2, PRE => txreset, Q => reset_sync_reg3 ); reset_sync4: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => userclk, CE => '1', D => reset_sync_reg3, PRE => txreset, Q => reset_sync_reg4 ); reset_sync5: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => userclk, CE => '1', D => reset_sync_reg4, PRE => txreset, Q => reset_sync_reg5 ); reset_sync6: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => userclk, CE => '1', D => reset_sync_reg5, PRE => '0', Q => txreset_int ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_reset_sync_2 is port ( reset_out : out STD_LOGIC; userclk2 : in STD_LOGIC; enablealign : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_reset_sync_2 : entity is "gig_ethernet_pcs_pma_0_reset_sync"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_reset_sync_2; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_reset_sync_2 is signal reset_sync_reg1 : STD_LOGIC; signal reset_sync_reg2 : STD_LOGIC; signal reset_sync_reg3 : STD_LOGIC; signal reset_sync_reg4 : STD_LOGIC; signal reset_sync_reg5 : STD_LOGIC; attribute ASYNC_REG : boolean; attribute ASYNC_REG of reset_sync1 : label is std.standard.true; attribute SHREG_EXTRACT : string; attribute SHREG_EXTRACT of reset_sync1 : label is "no"; attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of reset_sync1 : label is "FDP"; attribute box_type : string; attribute box_type of reset_sync1 : label is "PRIMITIVE"; attribute ASYNC_REG of reset_sync2 : label is std.standard.true; attribute SHREG_EXTRACT of reset_sync2 : label is "no"; attribute XILINX_LEGACY_PRIM of reset_sync2 : label is "FDP"; attribute box_type of reset_sync2 : label is "PRIMITIVE"; attribute ASYNC_REG of reset_sync3 : label is std.standard.true; attribute SHREG_EXTRACT of reset_sync3 : label is "no"; attribute XILINX_LEGACY_PRIM of reset_sync3 : label is "FDP"; attribute box_type of reset_sync3 : label is "PRIMITIVE"; attribute ASYNC_REG of reset_sync4 : label is std.standard.true; attribute SHREG_EXTRACT of reset_sync4 : label is "no"; attribute XILINX_LEGACY_PRIM of reset_sync4 : label is "FDP"; attribute box_type of reset_sync4 : label is "PRIMITIVE"; attribute ASYNC_REG of reset_sync5 : label is std.standard.true; attribute SHREG_EXTRACT of reset_sync5 : label is "no"; attribute XILINX_LEGACY_PRIM of reset_sync5 : label is "FDP"; attribute box_type of reset_sync5 : label is "PRIMITIVE"; attribute ASYNC_REG of reset_sync6 : label is std.standard.true; attribute SHREG_EXTRACT of reset_sync6 : label is "no"; attribute XILINX_LEGACY_PRIM of reset_sync6 : label is "FDP"; attribute box_type of reset_sync6 : label is "PRIMITIVE"; begin reset_sync1: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => userclk2, CE => '1', D => '0', PRE => enablealign, Q => reset_sync_reg1 ); reset_sync2: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => userclk2, CE => '1', D => reset_sync_reg1, PRE => enablealign, Q => reset_sync_reg2 ); reset_sync3: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => userclk2, CE => '1', D => reset_sync_reg2, PRE => enablealign, Q => reset_sync_reg3 ); reset_sync4: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => userclk2, CE => '1', D => reset_sync_reg3, PRE => enablealign, Q => reset_sync_reg4 ); reset_sync5: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => userclk2, CE => '1', D => reset_sync_reg4, PRE => enablealign, Q => reset_sync_reg5 ); reset_sync6: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => userclk2, CE => '1', D => reset_sync_reg5, PRE => '0', Q => reset_out ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_sync_block is port ( resetdone : out STD_LOGIC; data_in : in STD_LOGIC; userclk2 : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_sync_block; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_sync_block is signal data_sync1 : STD_LOGIC; signal data_sync2 : STD_LOGIC; signal data_sync3 : STD_LOGIC; signal data_sync4 : STD_LOGIC; signal data_sync5 : STD_LOGIC; attribute ASYNC_REG : boolean; attribute ASYNC_REG of data_sync_reg1 : label is std.standard.true; attribute SHREG_EXTRACT : string; attribute SHREG_EXTRACT of data_sync_reg1 : label is "no"; attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of data_sync_reg1 : label is "FD"; attribute box_type : string; attribute box_type of data_sync_reg1 : label is "PRIMITIVE"; attribute ASYNC_REG of data_sync_reg2 : label is std.standard.true; attribute SHREG_EXTRACT of data_sync_reg2 : label is "no"; attribute XILINX_LEGACY_PRIM of data_sync_reg2 : label is "FD"; attribute box_type of data_sync_reg2 : label is "PRIMITIVE"; attribute ASYNC_REG of data_sync_reg3 : label is std.standard.true; attribute SHREG_EXTRACT of data_sync_reg3 : label is "no"; attribute XILINX_LEGACY_PRIM of data_sync_reg3 : label is "FD"; attribute box_type of data_sync_reg3 : label is "PRIMITIVE"; attribute ASYNC_REG of data_sync_reg4 : label is std.standard.true; attribute SHREG_EXTRACT of data_sync_reg4 : label is "no"; attribute XILINX_LEGACY_PRIM of data_sync_reg4 : label is "FD"; attribute box_type of data_sync_reg4 : label is "PRIMITIVE"; attribute ASYNC_REG of data_sync_reg5 : label is std.standard.true; attribute SHREG_EXTRACT of data_sync_reg5 : label is "no"; attribute XILINX_LEGACY_PRIM of data_sync_reg5 : label is "FD"; attribute box_type of data_sync_reg5 : label is "PRIMITIVE"; attribute ASYNC_REG of data_sync_reg6 : label is std.standard.true; attribute SHREG_EXTRACT of data_sync_reg6 : label is "no"; attribute XILINX_LEGACY_PRIM of data_sync_reg6 : label is "FD"; attribute box_type of data_sync_reg6 : label is "PRIMITIVE"; begin data_sync_reg1: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => userclk2, CE => '1', D => data_in, Q => data_sync1, R => '0' ); data_sync_reg2: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => userclk2, CE => '1', D => data_sync1, Q => data_sync2, R => '0' ); data_sync_reg3: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => userclk2, CE => '1', D => data_sync2, Q => data_sync3, R => '0' ); data_sync_reg4: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => userclk2, CE => '1', D => data_sync3, Q => data_sync4, R => '0' ); data_sync_reg5: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => userclk2, CE => '1', D => data_sync4, Q => data_sync5, R => '0' ); data_sync_reg6: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => userclk2, CE => '1', D => data_sync5, Q => resetdone, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer is port ( \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\ : out STD_LOGIC; rxresetdone_out : in STD_LOGIC_VECTOR ( 0 to 0 ); drpclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer is signal i_in_meta : STD_LOGIC; attribute async_reg : string; attribute async_reg of i_in_meta : signal is "true"; signal i_in_sync1 : STD_LOGIC; attribute async_reg of i_in_sync1 : signal is "true"; signal i_in_sync2 : STD_LOGIC; attribute async_reg of i_in_sync2 : signal is "true"; signal i_in_sync3 : STD_LOGIC; attribute async_reg of i_in_sync3 : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of i_in_meta_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of i_in_meta_reg : label is "yes"; attribute ASYNC_REG_boolean of i_in_sync1_reg : label is std.standard.true; attribute KEEP of i_in_sync1_reg : label is "yes"; attribute ASYNC_REG_boolean of i_in_sync2_reg : label is std.standard.true; attribute KEEP of i_in_sync2_reg : label is "yes"; attribute ASYNC_REG_boolean of i_in_sync3_reg : label is std.standard.true; attribute KEEP of i_in_sync3_reg : label is "yes"; begin i_in_meta_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => rxresetdone_out(0), Q => i_in_meta, R => '0' ); i_in_out_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_sync3, Q => \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\, R => '0' ); i_in_sync1_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_meta, Q => i_in_sync1, R => '0' ); i_in_sync2_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_sync1, Q => i_in_sync2, R => '0' ); i_in_sync3_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_sync2, Q => i_in_sync3, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_10 is port ( gtwiz_reset_userclk_tx_active_sync : out STD_LOGIC; \FSM_sequential_sm_reset_tx_reg[2]\ : out STD_LOGIC; i_in_out_reg_0 : out STD_LOGIC; gtwiz_userclk_tx_active_in : in STD_LOGIC_VECTOR ( 0 to 0 ); drpclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 2 downto 0 ); sm_reset_tx_timer_clr_reg : in STD_LOGIC; \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.txresetdone_sync\ : in STD_LOGIC; sm_reset_tx_timer_clr_reg_0 : in STD_LOGIC; plllock_tx_sync : in STD_LOGIC; \FSM_sequential_sm_reset_tx_reg[0]\ : in STD_LOGIC; \FSM_sequential_sm_reset_tx_reg[0]_0\ : in STD_LOGIC; \FSM_sequential_sm_reset_tx_reg[0]_1\ : in STD_LOGIC; sm_reset_tx_pll_timer_sat : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_10 : entity is "gtwizard_ultrascale_v1_7_9_bit_synchronizer"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_10; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_10 is signal \^gtwiz_reset_userclk_tx_active_sync\ : STD_LOGIC; signal i_in_meta : STD_LOGIC; attribute async_reg : string; attribute async_reg of i_in_meta : signal is "true"; signal i_in_sync1 : STD_LOGIC; attribute async_reg of i_in_sync1 : signal is "true"; signal i_in_sync2 : STD_LOGIC; attribute async_reg of i_in_sync2 : signal is "true"; signal i_in_sync3 : STD_LOGIC; attribute async_reg of i_in_sync3 : signal is "true"; signal sm_reset_tx_timer_clr_i_2_n_0 : STD_LOGIC; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of i_in_meta_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of i_in_meta_reg : label is "yes"; attribute ASYNC_REG_boolean of i_in_sync1_reg : label is std.standard.true; attribute KEEP of i_in_sync1_reg : label is "yes"; attribute ASYNC_REG_boolean of i_in_sync2_reg : label is std.standard.true; attribute KEEP of i_in_sync2_reg : label is "yes"; attribute ASYNC_REG_boolean of i_in_sync3_reg : label is std.standard.true; attribute KEEP of i_in_sync3_reg : label is "yes"; begin gtwiz_reset_userclk_tx_active_sync <= \^gtwiz_reset_userclk_tx_active_sync\; \FSM_sequential_sm_reset_tx[2]_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"000F000088888888" ) port map ( I0 => \FSM_sequential_sm_reset_tx_reg[0]\, I1 => \^gtwiz_reset_userclk_tx_active_sync\, I2 => \FSM_sequential_sm_reset_tx_reg[0]_0\, I3 => \FSM_sequential_sm_reset_tx_reg[0]_1\, I4 => sm_reset_tx_pll_timer_sat, I5 => Q(0), O => i_in_out_reg_0 ); i_in_meta_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => gtwiz_userclk_tx_active_in(0), Q => i_in_meta, R => '0' ); i_in_out_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_sync3, Q => \^gtwiz_reset_userclk_tx_active_sync\, R => '0' ); i_in_sync1_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_meta, Q => i_in_sync1, R => '0' ); i_in_sync2_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_sync1, Q => i_in_sync2, R => '0' ); i_in_sync3_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_sync2, Q => i_in_sync3, R => '0' ); sm_reset_tx_timer_clr_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"EBEB282B" ) port map ( I0 => sm_reset_tx_timer_clr_i_2_n_0, I1 => Q(2), I2 => Q(1), I3 => Q(0), I4 => sm_reset_tx_timer_clr_reg, O => \FSM_sequential_sm_reset_tx_reg[2]\ ); sm_reset_tx_timer_clr_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"A0C0A0C0F0F000F0" ) port map ( I0 => \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.txresetdone_sync\, I1 => \^gtwiz_reset_userclk_tx_active_sync\, I2 => sm_reset_tx_timer_clr_reg_0, I3 => Q(0), I4 => plllock_tx_sync, I5 => Q(2), O => sm_reset_tx_timer_clr_i_2_n_0 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_11 is port ( plllock_rx_sync : out STD_LOGIC; i_in_out_reg_0 : out STD_LOGIC; \FSM_sequential_sm_reset_rx_reg[1]\ : out STD_LOGIC; cplllock_out : in STD_LOGIC_VECTOR ( 0 to 0 ); drpclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_reset_rx_done_int_reg : in STD_LOGIC; \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\ : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 2 downto 0 ); gtwiz_reset_rx_done_int_reg_0 : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_11 : entity is "gtwizard_ultrascale_v1_7_9_bit_synchronizer"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_11; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_11 is signal gtwiz_reset_rx_done_int : STD_LOGIC; signal i_in_meta : STD_LOGIC; attribute async_reg : string; attribute async_reg of i_in_meta : signal is "true"; signal i_in_sync1 : STD_LOGIC; attribute async_reg of i_in_sync1 : signal is "true"; signal i_in_sync2 : STD_LOGIC; attribute async_reg of i_in_sync2 : signal is "true"; signal i_in_sync3 : STD_LOGIC; attribute async_reg of i_in_sync3 : signal is "true"; signal \^plllock_rx_sync\ : STD_LOGIC; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of i_in_meta_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of i_in_meta_reg : label is "yes"; attribute ASYNC_REG_boolean of i_in_sync1_reg : label is std.standard.true; attribute KEEP of i_in_sync1_reg : label is "yes"; attribute ASYNC_REG_boolean of i_in_sync2_reg : label is std.standard.true; attribute KEEP of i_in_sync2_reg : label is "yes"; attribute ASYNC_REG_boolean of i_in_sync3_reg : label is std.standard.true; attribute KEEP of i_in_sync3_reg : label is "yes"; begin plllock_rx_sync <= \^plllock_rx_sync\; gtwiz_reset_rx_done_int_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"AAC0FFFFAAC00000" ) port map ( I0 => \^plllock_rx_sync\, I1 => gtwiz_reset_rx_done_int_reg, I2 => \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\, I3 => Q(0), I4 => gtwiz_reset_rx_done_int, I5 => gtwiz_reset_rx_done_int_reg_0, O => i_in_out_reg_0 ); gtwiz_reset_rx_done_int_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"4C40000040400000" ) port map ( I0 => \^plllock_rx_sync\, I1 => Q(2), I2 => Q(0), I3 => \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\, I4 => Q(1), I5 => gtwiz_reset_rx_done_int_reg, O => gtwiz_reset_rx_done_int ); i_in_meta_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => cplllock_out(0), Q => i_in_meta, R => '0' ); i_in_out_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_sync3, Q => \^plllock_rx_sync\, R => '0' ); i_in_sync1_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_meta, Q => i_in_sync1, R => '0' ); i_in_sync2_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_sync1, Q => i_in_sync2, R => '0' ); i_in_sync3_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_sync2, Q => i_in_sync3, R => '0' ); sm_reset_rx_timer_clr_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"88880000F5FF5555" ) port map ( I0 => Q(1), I1 => \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\, I2 => \^plllock_rx_sync\, I3 => Q(0), I4 => gtwiz_reset_rx_done_int_reg, I5 => Q(2), O => \FSM_sequential_sm_reset_rx_reg[1]\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_12 is port ( plllock_tx_sync : out STD_LOGIC; gtwiz_reset_tx_done_int_reg : out STD_LOGIC; i_in_out_reg_0 : out STD_LOGIC; cplllock_out : in STD_LOGIC_VECTOR ( 0 to 0 ); drpclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_reset_tx_done_int_reg_0 : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 2 downto 0 ); sm_reset_tx_timer_sat : in STD_LOGIC; gtwiz_reset_tx_done_int_reg_1 : in STD_LOGIC; \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.txresetdone_sync\ : in STD_LOGIC; \FSM_sequential_sm_reset_tx_reg[0]\ : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_12 : entity is "gtwizard_ultrascale_v1_7_9_bit_synchronizer"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_12; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_12 is signal gtwiz_reset_tx_done_int : STD_LOGIC; signal gtwiz_reset_tx_done_int_i_2_n_0 : STD_LOGIC; signal i_in_meta : STD_LOGIC; attribute async_reg : string; attribute async_reg of i_in_meta : signal is "true"; signal i_in_sync1 : STD_LOGIC; attribute async_reg of i_in_sync1 : signal is "true"; signal i_in_sync2 : STD_LOGIC; attribute async_reg of i_in_sync2 : signal is "true"; signal i_in_sync3 : STD_LOGIC; attribute async_reg of i_in_sync3 : signal is "true"; signal \^plllock_tx_sync\ : STD_LOGIC; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of i_in_meta_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of i_in_meta_reg : label is "yes"; attribute ASYNC_REG_boolean of i_in_sync1_reg : label is std.standard.true; attribute KEEP of i_in_sync1_reg : label is "yes"; attribute ASYNC_REG_boolean of i_in_sync2_reg : label is std.standard.true; attribute KEEP of i_in_sync2_reg : label is "yes"; attribute ASYNC_REG_boolean of i_in_sync3_reg : label is std.standard.true; attribute KEEP of i_in_sync3_reg : label is "yes"; begin plllock_tx_sync <= \^plllock_tx_sync\; \FSM_sequential_sm_reset_tx[2]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"00CFA00000000000" ) port map ( I0 => \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.txresetdone_sync\, I1 => \^plllock_tx_sync\, I2 => Q(0), I3 => Q(2), I4 => Q(1), I5 => \FSM_sequential_sm_reset_tx_reg[0]\, O => i_in_out_reg_0 ); gtwiz_reset_tx_done_int_i_1: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => gtwiz_reset_tx_done_int_i_2_n_0, I1 => gtwiz_reset_tx_done_int, I2 => gtwiz_reset_tx_done_int_reg_0, O => gtwiz_reset_tx_done_int_reg ); gtwiz_reset_tx_done_int_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"4444444444F44444" ) port map ( I0 => Q(0), I1 => \^plllock_tx_sync\, I2 => sm_reset_tx_timer_sat, I3 => gtwiz_reset_tx_done_int_reg_1, I4 => \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.txresetdone_sync\, I5 => Q(1), O => gtwiz_reset_tx_done_int_i_2_n_0 ); gtwiz_reset_tx_done_int_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"3000404000004040" ) port map ( I0 => \^plllock_tx_sync\, I1 => Q(1), I2 => Q(2), I3 => \FSM_sequential_sm_reset_tx_reg[0]\, I4 => Q(0), I5 => \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.txresetdone_sync\, O => gtwiz_reset_tx_done_int ); i_in_meta_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => cplllock_out(0), Q => i_in_meta, R => '0' ); i_in_out_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_sync3, Q => \^plllock_tx_sync\, R => '0' ); i_in_sync1_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_meta, Q => i_in_sync1, R => '0' ); i_in_sync2_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_sync1, Q => i_in_sync2, R => '0' ); i_in_sync3_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_sync2, Q => i_in_sync3, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_13 is port ( \FSM_sequential_sm_reset_rx_reg[2]\ : out STD_LOGIC; \FSM_sequential_sm_reset_rx_reg[1]\ : out STD_LOGIC; sm_reset_rx_cdr_to_sat_reg : out STD_LOGIC; rxcdrlock_out : in STD_LOGIC_VECTOR ( 0 to 0 ); drpclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); sm_reset_rx_cdr_to_clr_reg : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 2 downto 0 ); plllock_rx_sync : in STD_LOGIC; sm_reset_rx_cdr_to_clr : in STD_LOGIC; \FSM_sequential_sm_reset_rx_reg[0]\ : in STD_LOGIC; sm_reset_rx_cdr_to_sat : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_13 : entity is "gtwizard_ultrascale_v1_7_9_bit_synchronizer"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_13; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_13 is signal i_in_meta : STD_LOGIC; attribute async_reg : string; attribute async_reg of i_in_meta : signal is "true"; signal i_in_out_reg_n_0 : STD_LOGIC; signal i_in_sync1 : STD_LOGIC; attribute async_reg of i_in_sync1 : signal is "true"; signal i_in_sync2 : STD_LOGIC; attribute async_reg of i_in_sync2 : signal is "true"; signal i_in_sync3 : STD_LOGIC; attribute async_reg of i_in_sync3 : signal is "true"; signal sm_reset_rx_cdr_to_clr_i_2_n_0 : STD_LOGIC; signal \^sm_reset_rx_cdr_to_sat_reg\ : STD_LOGIC; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of i_in_meta_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of i_in_meta_reg : label is "yes"; attribute ASYNC_REG_boolean of i_in_sync1_reg : label is std.standard.true; attribute KEEP of i_in_sync1_reg : label is "yes"; attribute ASYNC_REG_boolean of i_in_sync2_reg : label is std.standard.true; attribute KEEP of i_in_sync2_reg : label is "yes"; attribute ASYNC_REG_boolean of i_in_sync3_reg : label is std.standard.true; attribute KEEP of i_in_sync3_reg : label is "yes"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of rxprogdivreset_out_i_2 : label is "soft_lutpair39"; attribute SOFT_HLUTNM of sm_reset_rx_cdr_to_clr_i_2 : label is "soft_lutpair39"; begin sm_reset_rx_cdr_to_sat_reg <= \^sm_reset_rx_cdr_to_sat_reg\; \FSM_sequential_sm_reset_rx[2]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"000A000AC0C000C0" ) port map ( I0 => \^sm_reset_rx_cdr_to_sat_reg\, I1 => \FSM_sequential_sm_reset_rx_reg[0]\, I2 => Q(1), I3 => Q(0), I4 => plllock_rx_sync, I5 => Q(2), O => \FSM_sequential_sm_reset_rx_reg[1]\ ); i_in_meta_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => rxcdrlock_out(0), Q => i_in_meta, R => '0' ); i_in_out_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_sync3, Q => i_in_out_reg_n_0, R => '0' ); i_in_sync1_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_meta, Q => i_in_sync1, R => '0' ); i_in_sync2_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_sync1, Q => i_in_sync2, R => '0' ); i_in_sync3_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_sync2, Q => i_in_sync3, R => '0' ); rxprogdivreset_out_i_2: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => sm_reset_rx_cdr_to_sat, I1 => i_in_out_reg_n_0, O => \^sm_reset_rx_cdr_to_sat_reg\ ); sm_reset_rx_cdr_to_clr_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"FBFFFFFF0800AAAA" ) port map ( I0 => sm_reset_rx_cdr_to_clr_i_2_n_0, I1 => sm_reset_rx_cdr_to_clr_reg, I2 => Q(2), I3 => plllock_rx_sync, I4 => Q(0), I5 => sm_reset_rx_cdr_to_clr, O => \FSM_sequential_sm_reset_rx_reg[2]\ ); sm_reset_rx_cdr_to_clr_i_2: unisim.vcomponents.LUT4 generic map( INIT => X"00EF" ) port map ( I0 => sm_reset_rx_cdr_to_sat, I1 => i_in_out_reg_n_0, I2 => Q(2), I3 => Q(1), O => sm_reset_rx_cdr_to_clr_i_2_n_0 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_3 is port ( \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.txresetdone_sync\ : out STD_LOGIC; txresetdone_out : in STD_LOGIC_VECTOR ( 0 to 0 ); drpclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_3 : entity is "gtwizard_ultrascale_v1_7_9_bit_synchronizer"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_3; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_3 is signal i_in_meta : STD_LOGIC; attribute async_reg : string; attribute async_reg of i_in_meta : signal is "true"; signal i_in_sync1 : STD_LOGIC; attribute async_reg of i_in_sync1 : signal is "true"; signal i_in_sync2 : STD_LOGIC; attribute async_reg of i_in_sync2 : signal is "true"; signal i_in_sync3 : STD_LOGIC; attribute async_reg of i_in_sync3 : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of i_in_meta_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of i_in_meta_reg : label is "yes"; attribute ASYNC_REG_boolean of i_in_sync1_reg : label is std.standard.true; attribute KEEP of i_in_sync1_reg : label is "yes"; attribute ASYNC_REG_boolean of i_in_sync2_reg : label is std.standard.true; attribute KEEP of i_in_sync2_reg : label is "yes"; attribute ASYNC_REG_boolean of i_in_sync3_reg : label is std.standard.true; attribute KEEP of i_in_sync3_reg : label is "yes"; begin i_in_meta_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => txresetdone_out(0), Q => i_in_meta, R => '0' ); i_in_out_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_sync3, Q => \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.txresetdone_sync\, R => '0' ); i_in_sync1_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_meta, Q => i_in_sync1, R => '0' ); i_in_sync2_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_sync1, Q => i_in_sync2, R => '0' ); i_in_sync3_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_sync2, Q => i_in_sync3, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_4 is port ( E : out STD_LOGIC_VECTOR ( 0 to 0 ); gtpowergood_out : in STD_LOGIC_VECTOR ( 0 to 0 ); drpclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); \FSM_sequential_sm_reset_all_reg[0]\ : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 2 downto 0 ); \FSM_sequential_sm_reset_all_reg[0]_0\ : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_4 : entity is "gtwizard_ultrascale_v1_7_9_bit_synchronizer"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_4; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_4 is signal gtpowergood_sync : STD_LOGIC; signal i_in_meta : STD_LOGIC; attribute async_reg : string; attribute async_reg of i_in_meta : signal is "true"; signal i_in_sync1 : STD_LOGIC; attribute async_reg of i_in_sync1 : signal is "true"; signal i_in_sync2 : STD_LOGIC; attribute async_reg of i_in_sync2 : signal is "true"; signal i_in_sync3 : STD_LOGIC; attribute async_reg of i_in_sync3 : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of i_in_meta_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of i_in_meta_reg : label is "yes"; attribute ASYNC_REG_boolean of i_in_sync1_reg : label is std.standard.true; attribute KEEP of i_in_sync1_reg : label is "yes"; attribute ASYNC_REG_boolean of i_in_sync2_reg : label is std.standard.true; attribute KEEP of i_in_sync2_reg : label is "yes"; attribute ASYNC_REG_boolean of i_in_sync3_reg : label is std.standard.true; attribute KEEP of i_in_sync3_reg : label is "yes"; begin \FSM_sequential_sm_reset_all[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AF0FAF00CFFFCFFF" ) port map ( I0 => gtpowergood_sync, I1 => \FSM_sequential_sm_reset_all_reg[0]\, I2 => Q(2), I3 => Q(0), I4 => \FSM_sequential_sm_reset_all_reg[0]_0\, I5 => Q(1), O => E(0) ); i_in_meta_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => gtpowergood_out(0), Q => i_in_meta, R => '0' ); i_in_out_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_sync3, Q => gtpowergood_sync, R => '0' ); i_in_sync1_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_meta, Q => i_in_sync1, R => '0' ); i_in_sync2_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_sync1, Q => i_in_sync2, R => '0' ); i_in_sync3_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_sync2, Q => i_in_sync3, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_5 is port ( gtwiz_reset_rx_datapath_dly : out STD_LOGIC; in0 : in STD_LOGIC; drpclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_5 : entity is "gtwizard_ultrascale_v1_7_9_bit_synchronizer"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_5; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_5 is signal i_in_meta : STD_LOGIC; attribute async_reg : string; attribute async_reg of i_in_meta : signal is "true"; signal i_in_sync1 : STD_LOGIC; attribute async_reg of i_in_sync1 : signal is "true"; signal i_in_sync2 : STD_LOGIC; attribute async_reg of i_in_sync2 : signal is "true"; signal i_in_sync3 : STD_LOGIC; attribute async_reg of i_in_sync3 : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of i_in_meta_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of i_in_meta_reg : label is "yes"; attribute ASYNC_REG_boolean of i_in_sync1_reg : label is std.standard.true; attribute KEEP of i_in_sync1_reg : label is "yes"; attribute ASYNC_REG_boolean of i_in_sync2_reg : label is std.standard.true; attribute KEEP of i_in_sync2_reg : label is "yes"; attribute ASYNC_REG_boolean of i_in_sync3_reg : label is std.standard.true; attribute KEEP of i_in_sync3_reg : label is "yes"; begin i_in_meta_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => in0, Q => i_in_meta, R => '0' ); i_in_out_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_sync3, Q => gtwiz_reset_rx_datapath_dly, R => '0' ); i_in_sync1_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_meta, Q => i_in_sync1, R => '0' ); i_in_sync2_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_sync1, Q => i_in_sync2, R => '0' ); i_in_sync3_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_sync2, Q => i_in_sync3, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_6 is port ( D : out STD_LOGIC_VECTOR ( 1 downto 0 ); i_in_out_reg_0 : out STD_LOGIC; in0 : in STD_LOGIC; drpclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\ : in STD_LOGIC; \FSM_sequential_sm_reset_rx_reg[0]\ : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 2 downto 0 ); gtwiz_reset_rx_datapath_dly : in STD_LOGIC; \FSM_sequential_sm_reset_rx_reg[0]_0\ : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_6 : entity is "gtwizard_ultrascale_v1_7_9_bit_synchronizer"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_6; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_6 is signal gtwiz_reset_rx_pll_and_datapath_dly : STD_LOGIC; signal i_in_meta : STD_LOGIC; attribute async_reg : string; attribute async_reg of i_in_meta : signal is "true"; signal i_in_sync1 : STD_LOGIC; attribute async_reg of i_in_sync1 : signal is "true"; signal i_in_sync2 : STD_LOGIC; attribute async_reg of i_in_sync2 : signal is "true"; signal i_in_sync3 : STD_LOGIC; attribute async_reg of i_in_sync3 : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of i_in_meta_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of i_in_meta_reg : label is "yes"; attribute ASYNC_REG_boolean of i_in_sync1_reg : label is std.standard.true; attribute KEEP of i_in_sync1_reg : label is "yes"; attribute ASYNC_REG_boolean of i_in_sync2_reg : label is std.standard.true; attribute KEEP of i_in_sync2_reg : label is "yes"; attribute ASYNC_REG_boolean of i_in_sync3_reg : label is std.standard.true; attribute KEEP of i_in_sync3_reg : label is "yes"; begin \FSM_sequential_sm_reset_rx[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FF0088FF00FFFFF0" ) port map ( I0 => \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\, I1 => \FSM_sequential_sm_reset_rx_reg[0]\, I2 => gtwiz_reset_rx_pll_and_datapath_dly, I3 => Q(2), I4 => Q(0), I5 => Q(1), O => D(0) ); \FSM_sequential_sm_reset_rx[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000FFFF8F8F000F" ) port map ( I0 => \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\, I1 => \FSM_sequential_sm_reset_rx_reg[0]\, I2 => Q(2), I3 => gtwiz_reset_rx_pll_and_datapath_dly, I4 => Q(1), I5 => Q(0), O => D(1) ); \FSM_sequential_sm_reset_rx[2]_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF0000000E" ) port map ( I0 => gtwiz_reset_rx_pll_and_datapath_dly, I1 => gtwiz_reset_rx_datapath_dly, I2 => Q(2), I3 => Q(1), I4 => Q(0), I5 => \FSM_sequential_sm_reset_rx_reg[0]_0\, O => i_in_out_reg_0 ); i_in_meta_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => in0, Q => i_in_meta, R => '0' ); i_in_out_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_sync3, Q => gtwiz_reset_rx_pll_and_datapath_dly, R => '0' ); i_in_sync1_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_meta, Q => i_in_sync1, R => '0' ); i_in_sync2_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_sync1, Q => i_in_sync2, R => '0' ); i_in_sync3_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_sync2, Q => i_in_sync3, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_7 is port ( E : out STD_LOGIC_VECTOR ( 0 to 0 ); in0 : in STD_LOGIC; drpclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \FSM_sequential_sm_reset_tx_reg[0]\ : in STD_LOGIC; gtwiz_reset_tx_pll_and_datapath_dly : in STD_LOGIC; \FSM_sequential_sm_reset_tx_reg[0]_0\ : in STD_LOGIC; \FSM_sequential_sm_reset_tx_reg[0]_1\ : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_7 : entity is "gtwizard_ultrascale_v1_7_9_bit_synchronizer"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_7; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_7 is signal gtwiz_reset_tx_datapath_dly : STD_LOGIC; signal i_in_meta : STD_LOGIC; attribute async_reg : string; attribute async_reg of i_in_meta : signal is "true"; signal i_in_sync1 : STD_LOGIC; attribute async_reg of i_in_sync1 : signal is "true"; signal i_in_sync2 : STD_LOGIC; attribute async_reg of i_in_sync2 : signal is "true"; signal i_in_sync3 : STD_LOGIC; attribute async_reg of i_in_sync3 : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of i_in_meta_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of i_in_meta_reg : label is "yes"; attribute ASYNC_REG_boolean of i_in_sync1_reg : label is std.standard.true; attribute KEEP of i_in_sync1_reg : label is "yes"; attribute ASYNC_REG_boolean of i_in_sync2_reg : label is std.standard.true; attribute KEEP of i_in_sync2_reg : label is "yes"; attribute ASYNC_REG_boolean of i_in_sync3_reg : label is std.standard.true; attribute KEEP of i_in_sync3_reg : label is "yes"; begin \FSM_sequential_sm_reset_tx[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFF1110" ) port map ( I0 => Q(0), I1 => \FSM_sequential_sm_reset_tx_reg[0]\, I2 => gtwiz_reset_tx_datapath_dly, I3 => gtwiz_reset_tx_pll_and_datapath_dly, I4 => \FSM_sequential_sm_reset_tx_reg[0]_0\, I5 => \FSM_sequential_sm_reset_tx_reg[0]_1\, O => E(0) ); i_in_meta_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => in0, Q => i_in_meta, R => '0' ); i_in_out_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_sync3, Q => gtwiz_reset_tx_datapath_dly, R => '0' ); i_in_sync1_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_meta, Q => i_in_sync1, R => '0' ); i_in_sync2_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_sync1, Q => i_in_sync2, R => '0' ); i_in_sync3_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_sync2, Q => i_in_sync3, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_8 is port ( gtwiz_reset_tx_pll_and_datapath_dly : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 1 downto 0 ); in0 : in STD_LOGIC; drpclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 2 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_8 : entity is "gtwizard_ultrascale_v1_7_9_bit_synchronizer"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_8; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_8 is signal \^gtwiz_reset_tx_pll_and_datapath_dly\ : STD_LOGIC; signal i_in_meta : STD_LOGIC; attribute async_reg : string; attribute async_reg of i_in_meta : signal is "true"; signal i_in_sync1 : STD_LOGIC; attribute async_reg of i_in_sync1 : signal is "true"; signal i_in_sync2 : STD_LOGIC; attribute async_reg of i_in_sync2 : signal is "true"; signal i_in_sync3 : STD_LOGIC; attribute async_reg of i_in_sync3 : signal is "true"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \FSM_sequential_sm_reset_tx[0]_i_1\ : label is "soft_lutpair38"; attribute SOFT_HLUTNM of \FSM_sequential_sm_reset_tx[1]_i_1\ : label is "soft_lutpair38"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of i_in_meta_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of i_in_meta_reg : label is "yes"; attribute ASYNC_REG_boolean of i_in_sync1_reg : label is std.standard.true; attribute KEEP of i_in_sync1_reg : label is "yes"; attribute ASYNC_REG_boolean of i_in_sync2_reg : label is std.standard.true; attribute KEEP of i_in_sync2_reg : label is "yes"; attribute ASYNC_REG_boolean of i_in_sync3_reg : label is std.standard.true; attribute KEEP of i_in_sync3_reg : label is "yes"; begin gtwiz_reset_tx_pll_and_datapath_dly <= \^gtwiz_reset_tx_pll_and_datapath_dly\; \FSM_sequential_sm_reset_tx[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"1F1E" ) port map ( I0 => Q(1), I1 => Q(2), I2 => Q(0), I3 => \^gtwiz_reset_tx_pll_and_datapath_dly\, O => D(0) ); \FSM_sequential_sm_reset_tx[1]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"0FF1" ) port map ( I0 => Q(2), I1 => \^gtwiz_reset_tx_pll_and_datapath_dly\, I2 => Q(1), I3 => Q(0), O => D(1) ); i_in_meta_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => in0, Q => i_in_meta, R => '0' ); i_in_out_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_sync3, Q => \^gtwiz_reset_tx_pll_and_datapath_dly\, R => '0' ); i_in_sync1_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_meta, Q => i_in_sync1, R => '0' ); i_in_sync2_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_sync1, Q => i_in_sync2, R => '0' ); i_in_sync3_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_sync2, Q => i_in_sync3, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_9 is port ( \FSM_sequential_sm_reset_rx_reg[0]\ : out STD_LOGIC; \FSM_sequential_sm_reset_rx_reg[2]\ : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); rxpmaresetdone_out : in STD_LOGIC_VECTOR ( 0 to 0 ); drpclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); sm_reset_rx_timer_clr_reg : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 2 downto 0 ); sm_reset_rx_timer_clr_reg_0 : in STD_LOGIC; gtwiz_reset_rx_any_sync : in STD_LOGIC; \gen_gtwizard_gthe3.rxuserrdy_int\ : in STD_LOGIC; \FSM_sequential_sm_reset_rx_reg[0]_0\ : in STD_LOGIC; \FSM_sequential_sm_reset_rx_reg[0]_1\ : in STD_LOGIC; \FSM_sequential_sm_reset_rx_reg[0]_2\ : in STD_LOGIC; sm_reset_rx_pll_timer_sat : in STD_LOGIC; sm_reset_rx_timer_sat : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_9 : entity is "gtwizard_ultrascale_v1_7_9_bit_synchronizer"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_9; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_9 is signal \FSM_sequential_sm_reset_rx[2]_i_3_n_0\ : STD_LOGIC; signal gtwiz_reset_userclk_rx_active_sync : STD_LOGIC; signal i_in_meta : STD_LOGIC; attribute async_reg : string; attribute async_reg of i_in_meta : signal is "true"; signal i_in_sync1 : STD_LOGIC; attribute async_reg of i_in_sync1 : signal is "true"; signal i_in_sync2 : STD_LOGIC; attribute async_reg of i_in_sync2 : signal is "true"; signal i_in_sync3 : STD_LOGIC; attribute async_reg of i_in_sync3 : signal is "true"; signal sm_reset_rx_timer_clr_i_2_n_0 : STD_LOGIC; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of i_in_meta_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of i_in_meta_reg : label is "yes"; attribute ASYNC_REG_boolean of i_in_sync1_reg : label is std.standard.true; attribute KEEP of i_in_sync1_reg : label is "yes"; attribute ASYNC_REG_boolean of i_in_sync2_reg : label is std.standard.true; attribute KEEP of i_in_sync2_reg : label is "yes"; attribute ASYNC_REG_boolean of i_in_sync3_reg : label is std.standard.true; attribute KEEP of i_in_sync3_reg : label is "yes"; begin \FSM_sequential_sm_reset_rx[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"FE" ) port map ( I0 => \FSM_sequential_sm_reset_rx[2]_i_3_n_0\, I1 => \FSM_sequential_sm_reset_rx_reg[0]_0\, I2 => \FSM_sequential_sm_reset_rx_reg[0]_1\, O => E(0) ); \FSM_sequential_sm_reset_rx[2]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"2023202000000000" ) port map ( I0 => sm_reset_rx_timer_clr_i_2_n_0, I1 => Q(1), I2 => Q(2), I3 => \FSM_sequential_sm_reset_rx_reg[0]_2\, I4 => sm_reset_rx_pll_timer_sat, I5 => Q(0), O => \FSM_sequential_sm_reset_rx[2]_i_3_n_0\ ); i_in_meta_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => rxpmaresetdone_out(0), Q => i_in_meta, R => '0' ); i_in_out_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_sync3, Q => gtwiz_reset_userclk_rx_active_sync, R => '0' ); i_in_sync1_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_meta, Q => i_in_sync1, R => '0' ); i_in_sync2_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_sync1, Q => i_in_sync2, R => '0' ); i_in_sync3_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => i_in_sync2, Q => i_in_sync3, R => '0' ); rxuserrdy_out_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFAAF00000800" ) port map ( I0 => Q(2), I1 => sm_reset_rx_timer_clr_i_2_n_0, I2 => Q(1), I3 => Q(0), I4 => gtwiz_reset_rx_any_sync, I5 => \gen_gtwizard_gthe3.rxuserrdy_int\, O => \FSM_sequential_sm_reset_rx_reg[2]\ ); sm_reset_rx_timer_clr_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"FCCCEFFE0CCCE00E" ) port map ( I0 => sm_reset_rx_timer_clr_i_2_n_0, I1 => sm_reset_rx_timer_clr_reg, I2 => Q(0), I3 => Q(2), I4 => Q(1), I5 => sm_reset_rx_timer_clr_reg_0, O => \FSM_sequential_sm_reset_rx_reg[0]\ ); sm_reset_rx_timer_clr_i_2: unisim.vcomponents.LUT3 generic map( INIT => X"40" ) port map ( I0 => sm_reset_rx_timer_clr_reg_0, I1 => sm_reset_rx_timer_sat, I2 => gtwiz_reset_userclk_rx_active_sync, O => sm_reset_rx_timer_clr_i_2_n_0 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_gthe3_channel is port ( cplllock_out : out STD_LOGIC_VECTOR ( 0 to 0 ); gthtxn_out : out STD_LOGIC_VECTOR ( 0 to 0 ); gthtxp_out : out STD_LOGIC_VECTOR ( 0 to 0 ); gtpowergood_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxcdrlock_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxoutclk_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxpmaresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 ); txoutclk_out : out STD_LOGIC_VECTOR ( 0 to 0 ); txresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_userdata_rx_out : out STD_LOGIC_VECTOR ( 15 downto 0 ); rxctrl0_out : out STD_LOGIC_VECTOR ( 1 downto 0 ); rxctrl1_out : out STD_LOGIC_VECTOR ( 1 downto 0 ); rxclkcorcnt_out : out STD_LOGIC_VECTOR ( 1 downto 0 ); txbufstatus_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxbufstatus_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxctrl2_out : out STD_LOGIC_VECTOR ( 1 downto 0 ); rxctrl3_out : out STD_LOGIC_VECTOR ( 1 downto 0 ); rst_in0 : out STD_LOGIC; \gen_gtwizard_gthe3.cpllpd_ch_int\ : in STD_LOGIC; drpclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gthrxn_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gthrxp_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtrefclk0_in : in STD_LOGIC_VECTOR ( 0 to 0 ); \gen_gtwizard_gthe3.gtrxreset_int\ : in STD_LOGIC; \gen_gtwizard_gthe3.gttxreset_int\ : in STD_LOGIC; rxmcommaalignen_in : in STD_LOGIC_VECTOR ( 0 to 0 ); \gen_gtwizard_gthe3.rxprogdivreset_int\ : in STD_LOGIC; \gen_gtwizard_gthe3.rxuserrdy_int\ : in STD_LOGIC; rxusrclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txelecidle_in : in STD_LOGIC_VECTOR ( 0 to 0 ); \gen_gtwizard_gthe3.txprogdivreset_int\ : in STD_LOGIC; \gen_gtwizard_gthe3.txuserrdy_int\ : in STD_LOGIC; gtwiz_userdata_tx_in : in STD_LOGIC_VECTOR ( 15 downto 0 ); txctrl0_in : in STD_LOGIC_VECTOR ( 1 downto 0 ); txctrl1_in : in STD_LOGIC_VECTOR ( 1 downto 0 ); rxpd_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txctrl2_in : in STD_LOGIC_VECTOR ( 1 downto 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_gthe3_channel; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_gthe3_channel is signal \^cplllock_out\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_0\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_10\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_100\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_101\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_102\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_103\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_104\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_105\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_106\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_107\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_108\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_109\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_11\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_110\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_111\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_112\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_113\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_114\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_115\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_116\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_117\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_118\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_119\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_12\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_120\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_121\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_122\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_123\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_124\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_125\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_126\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_127\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_128\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_129\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_13\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_130\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_131\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_132\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_133\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_134\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_135\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_136\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_137\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_138\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_139\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_14\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_140\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_141\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_142\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_143\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_144\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_145\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_146\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_147\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_148\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_149\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_15\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_150\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_151\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_152\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_153\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_154\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_155\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_156\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_157\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_158\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_159\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_16\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_160\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_161\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_162\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_163\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_164\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_165\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_166\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_167\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_168\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_169\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_17\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_170\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_171\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_172\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_173\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_174\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_175\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_176\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_177\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_178\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_179\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_18\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_180\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_181\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_182\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_183\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_184\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_185\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_186\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_187\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_188\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_189\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_190\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_191\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_192\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_193\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_2\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_20\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_21\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_210\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_211\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_212\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_213\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_214\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_215\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_216\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_217\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_218\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_219\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_22\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_220\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_221\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_222\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_223\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_224\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_225\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_226\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_227\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_228\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_229\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_23\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_230\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_231\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_232\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_233\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_234\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_235\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_236\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_237\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_238\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_239\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_24\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_242\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_243\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_244\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_245\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_246\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_247\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_248\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_249\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_25\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_250\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_251\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_252\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_253\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_254\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_255\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_258\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_259\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_26\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_260\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_261\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_262\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_263\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_264\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_265\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_266\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_267\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_268\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_269\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_27\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_270\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_271\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_272\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_273\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_274\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_275\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_276\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_277\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_278\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_28\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_281\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_282\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_283\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_284\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_285\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_286\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_288\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_289\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_29\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_290\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_291\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_292\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_293\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_294\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_295\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_296\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_297\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_298\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_299\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_3\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_30\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_300\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_302\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_303\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_304\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_305\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_306\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_307\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_308\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_309\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_31\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_310\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_311\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_312\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_313\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_314\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_315\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_316\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_317\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_318\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_319\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_32\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_320\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_321\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_322\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_323\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_324\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_325\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_326\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_327\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_328\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_329\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_33\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_330\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_331\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_332\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_333\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_334\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_335\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_336\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_337\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_338\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_341\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_342\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_343\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_344\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_345\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_346\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_349\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_35\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_350\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_351\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_352\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_353\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_354\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_355\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_356\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_357\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_358\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_359\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_36\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_360\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_361\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_362\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_363\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_364\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_365\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_37\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_38\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_4\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_40\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_41\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_42\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_43\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_44\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_45\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_46\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_48\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_49\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_50\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_51\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_52\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_53\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_54\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_55\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_56\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_58\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_59\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_60\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_61\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_62\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_63\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_64\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_65\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_66\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_68\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_69\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_70\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_71\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_72\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_73\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_74\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_75\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_76\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_77\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_78\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_79\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_8\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_80\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_81\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_82\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_83\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_84\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_85\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_86\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_87\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_88\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_89\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_9\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_90\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_91\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_92\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_93\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_94\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_95\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_96\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_97\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_98\ : STD_LOGIC; signal \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_99\ : STD_LOGIC; attribute box_type : string; attribute box_type of \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST\ : label is "PRIMITIVE"; begin cplllock_out(0) <= \^cplllock_out\(0); \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST\: unisim.vcomponents.GTHE3_CHANNEL generic map( ACJTAG_DEBUG_MODE => '0', ACJTAG_MODE => '0', ACJTAG_RESET => '0', ADAPT_CFG0 => X"F800", ADAPT_CFG1 => X"0000", ALIGN_COMMA_DOUBLE => "FALSE", ALIGN_COMMA_ENABLE => B"1111111111", ALIGN_COMMA_WORD => 2, ALIGN_MCOMMA_DET => "TRUE", ALIGN_MCOMMA_VALUE => B"1010000011", ALIGN_PCOMMA_DET => "TRUE", ALIGN_PCOMMA_VALUE => B"0101111100", A_RXOSCALRESET => '0', A_RXPROGDIVRESET => '0', A_TXPROGDIVRESET => '0', CBCC_DATA_SOURCE_SEL => "DECODED", CDR_SWAP_MODE_EN => '0', CHAN_BOND_KEEP_ALIGN => "FALSE", CHAN_BOND_MAX_SKEW => 1, CHAN_BOND_SEQ_1_1 => B"0000000000", CHAN_BOND_SEQ_1_2 => B"0000000000", CHAN_BOND_SEQ_1_3 => B"0000000000", CHAN_BOND_SEQ_1_4 => B"0000000000", CHAN_BOND_SEQ_1_ENABLE => B"1111", CHAN_BOND_SEQ_2_1 => B"0000000000", CHAN_BOND_SEQ_2_2 => B"0000000000", CHAN_BOND_SEQ_2_3 => B"0000000000", CHAN_BOND_SEQ_2_4 => B"0000000000", CHAN_BOND_SEQ_2_ENABLE => B"1111", CHAN_BOND_SEQ_2_USE => "FALSE", CHAN_BOND_SEQ_LEN => 1, CLK_CORRECT_USE => "TRUE", CLK_COR_KEEP_IDLE => "FALSE", CLK_COR_MAX_LAT => 15, CLK_COR_MIN_LAT => 12, CLK_COR_PRECEDENCE => "TRUE", CLK_COR_REPEAT_WAIT => 0, CLK_COR_SEQ_1_1 => B"0110111100", CLK_COR_SEQ_1_2 => B"0001010000", CLK_COR_SEQ_1_3 => B"0000000000", CLK_COR_SEQ_1_4 => B"0000000000", CLK_COR_SEQ_1_ENABLE => B"1111", CLK_COR_SEQ_2_1 => B"0110111100", CLK_COR_SEQ_2_2 => B"0010110101", CLK_COR_SEQ_2_3 => B"0000000000", CLK_COR_SEQ_2_4 => B"0000000000", CLK_COR_SEQ_2_ENABLE => B"1111", CLK_COR_SEQ_2_USE => "TRUE", CLK_COR_SEQ_LEN => 2, CPLL_CFG0 => X"67F8", CPLL_CFG1 => X"A4AC", CPLL_CFG2 => X"0007", CPLL_CFG3 => B"00" & X"0", CPLL_FBDIV => 5, CPLL_FBDIV_45 => 4, CPLL_INIT_CFG0 => X"02B2", CPLL_INIT_CFG1 => X"00", CPLL_LOCK_CFG => X"01E8", CPLL_REFCLK_DIV => 1, DDI_CTRL => B"00", DDI_REALIGN_WAIT => 15, DEC_MCOMMA_DETECT => "TRUE", DEC_PCOMMA_DETECT => "TRUE", DEC_VALID_COMMA_ONLY => "FALSE", DFE_D_X_REL_POS => '0', DFE_VCM_COMP_EN => '0', DMONITOR_CFG0 => B"00" & X"00", DMONITOR_CFG1 => X"00", ES_CLK_PHASE_SEL => '0', ES_CONTROL => B"000000", ES_ERRDET_EN => "FALSE", ES_EYE_SCAN_EN => "FALSE", ES_HORZ_OFFSET => X"000", ES_PMA_CFG => B"0000000000", ES_PRESCALE => B"00000", ES_QUALIFIER0 => X"0000", ES_QUALIFIER1 => X"0000", ES_QUALIFIER2 => X"0000", ES_QUALIFIER3 => X"0000", ES_QUALIFIER4 => X"0000", ES_QUAL_MASK0 => X"0000", ES_QUAL_MASK1 => X"0000", ES_QUAL_MASK2 => X"0000", ES_QUAL_MASK3 => X"0000", ES_QUAL_MASK4 => X"0000", ES_SDATA_MASK0 => X"0000", ES_SDATA_MASK1 => X"0000", ES_SDATA_MASK2 => X"0000", ES_SDATA_MASK3 => X"0000", ES_SDATA_MASK4 => X"0000", EVODD_PHI_CFG => B"00000000000", EYE_SCAN_SWAP_EN => '0', FTS_DESKEW_SEQ_ENABLE => B"1111", FTS_LANE_DESKEW_CFG => B"1111", FTS_LANE_DESKEW_EN => "FALSE", GEARBOX_MODE => B"00000", GM_BIAS_SELECT => '0', LOCAL_MASTER => '1', OOBDIVCTL => B"00", OOB_PWRUP => '0', PCI3_AUTO_REALIGN => "OVR_1K_BLK", PCI3_PIPE_RX_ELECIDLE => '0', PCI3_RX_ASYNC_EBUF_BYPASS => B"00", PCI3_RX_ELECIDLE_EI2_ENABLE => '0', PCI3_RX_ELECIDLE_H2L_COUNT => B"000000", PCI3_RX_ELECIDLE_H2L_DISABLE => B"000", PCI3_RX_ELECIDLE_HI_COUNT => B"000000", PCI3_RX_ELECIDLE_LP4_DISABLE => '0', PCI3_RX_FIFO_DISABLE => '0', PCIE_BUFG_DIV_CTRL => X"1000", PCIE_RXPCS_CFG_GEN3 => X"02A4", PCIE_RXPMA_CFG => X"000A", PCIE_TXPCS_CFG_GEN3 => X"2CA4", PCIE_TXPMA_CFG => X"000A", PCS_PCIE_EN => "FALSE", PCS_RSVD0 => B"0000000000000000", PCS_RSVD1 => B"000", PD_TRANS_TIME_FROM_P2 => X"03C", PD_TRANS_TIME_NONE_P2 => X"19", PD_TRANS_TIME_TO_P2 => X"64", PLL_SEL_MODE_GEN12 => B"00", PLL_SEL_MODE_GEN3 => B"11", PMA_RSV1 => X"F000", PROCESS_PAR => B"010", RATE_SW_USE_DRP => '1', RESET_POWERSAVE_DISABLE => '0', RXBUFRESET_TIME => B"00011", RXBUF_ADDR_MODE => "FULL", RXBUF_EIDLE_HI_CNT => B"1000", RXBUF_EIDLE_LO_CNT => B"0000", RXBUF_EN => "TRUE", RXBUF_RESET_ON_CB_CHANGE => "TRUE", RXBUF_RESET_ON_COMMAALIGN => "FALSE", RXBUF_RESET_ON_EIDLE => "FALSE", RXBUF_RESET_ON_RATE_CHANGE => "TRUE", RXBUF_THRESH_OVFLW => 0, RXBUF_THRESH_OVRD => "FALSE", RXBUF_THRESH_UNDFLW => 0, RXCDRFREQRESET_TIME => B"00001", RXCDRPHRESET_TIME => B"00001", RXCDR_CFG0 => X"0000", RXCDR_CFG0_GEN3 => X"0000", RXCDR_CFG1 => X"0000", RXCDR_CFG1_GEN3 => X"0000", RXCDR_CFG2 => X"0746", RXCDR_CFG2_GEN3 => X"07E6", RXCDR_CFG3 => X"0000", RXCDR_CFG3_GEN3 => X"0000", RXCDR_CFG4 => X"0000", RXCDR_CFG4_GEN3 => X"0000", RXCDR_CFG5 => X"0000", RXCDR_CFG5_GEN3 => X"0000", RXCDR_FR_RESET_ON_EIDLE => '0', RXCDR_HOLD_DURING_EIDLE => '0', RXCDR_LOCK_CFG0 => X"4480", RXCDR_LOCK_CFG1 => X"5FFF", RXCDR_LOCK_CFG2 => X"77C3", RXCDR_PH_RESET_ON_EIDLE => '0', RXCFOK_CFG0 => X"4000", RXCFOK_CFG1 => X"0065", RXCFOK_CFG2 => X"002E", RXDFELPMRESET_TIME => B"0001111", RXDFELPM_KL_CFG0 => X"0000", RXDFELPM_KL_CFG1 => X"0032", RXDFELPM_KL_CFG2 => X"0000", RXDFE_CFG0 => X"0A00", RXDFE_CFG1 => X"0000", RXDFE_GC_CFG0 => X"0000", RXDFE_GC_CFG1 => X"7870", RXDFE_GC_CFG2 => X"0000", RXDFE_H2_CFG0 => X"0000", RXDFE_H2_CFG1 => X"0000", RXDFE_H3_CFG0 => X"4000", RXDFE_H3_CFG1 => X"0000", RXDFE_H4_CFG0 => X"2000", RXDFE_H4_CFG1 => X"0003", RXDFE_H5_CFG0 => X"2000", RXDFE_H5_CFG1 => X"0003", RXDFE_H6_CFG0 => X"2000", RXDFE_H6_CFG1 => X"0000", RXDFE_H7_CFG0 => X"2000", RXDFE_H7_CFG1 => X"0000", RXDFE_H8_CFG0 => X"2000", RXDFE_H8_CFG1 => X"0000", RXDFE_H9_CFG0 => X"2000", RXDFE_H9_CFG1 => X"0000", RXDFE_HA_CFG0 => X"2000", RXDFE_HA_CFG1 => X"0000", RXDFE_HB_CFG0 => X"2000", RXDFE_HB_CFG1 => X"0000", RXDFE_HC_CFG0 => X"0000", RXDFE_HC_CFG1 => X"0000", RXDFE_HD_CFG0 => X"0000", RXDFE_HD_CFG1 => X"0000", RXDFE_HE_CFG0 => X"0000", RXDFE_HE_CFG1 => X"0000", RXDFE_HF_CFG0 => X"0000", RXDFE_HF_CFG1 => X"0000", RXDFE_OS_CFG0 => X"8000", RXDFE_OS_CFG1 => X"0000", RXDFE_UT_CFG0 => X"8000", RXDFE_UT_CFG1 => X"0003", RXDFE_VP_CFG0 => X"AA00", RXDFE_VP_CFG1 => X"0033", RXDLY_CFG => X"001F", RXDLY_LCFG => X"0030", RXELECIDLE_CFG => "Sigcfg_4", RXGBOX_FIFO_INIT_RD_ADDR => 4, RXGEARBOX_EN => "FALSE", RXISCANRESET_TIME => B"00001", RXLPM_CFG => X"0000", RXLPM_GC_CFG => X"1000", RXLPM_KH_CFG0 => X"0000", RXLPM_KH_CFG1 => X"0002", RXLPM_OS_CFG0 => X"8000", RXLPM_OS_CFG1 => X"0002", RXOOB_CFG => B"000000110", RXOOB_CLK_CFG => "PMA", RXOSCALRESET_TIME => B"00011", RXOUT_DIV => 4, RXPCSRESET_TIME => B"00011", RXPHBEACON_CFG => X"0000", RXPHDLY_CFG => X"2020", RXPHSAMP_CFG => X"2100", RXPHSLIP_CFG => X"6622", RXPH_MONITOR_SEL => B"00000", RXPI_CFG0 => B"01", RXPI_CFG1 => B"01", RXPI_CFG2 => B"01", RXPI_CFG3 => B"01", RXPI_CFG4 => '1', RXPI_CFG5 => '1', RXPI_CFG6 => B"011", RXPI_LPM => '0', RXPI_VREFSEL => '0', RXPMACLK_SEL => "DATA", RXPMARESET_TIME => B"00011", RXPRBS_ERR_LOOPBACK => '0', RXPRBS_LINKACQ_CNT => 15, RXSLIDE_AUTO_WAIT => 7, RXSLIDE_MODE => "OFF", RXSYNC_MULTILANE => '0', RXSYNC_OVRD => '0', RXSYNC_SKIP_DA => '0', RX_AFE_CM_EN => '0', RX_BIAS_CFG0 => X"0AB4", RX_BUFFER_CFG => B"000000", RX_CAPFF_SARC_ENB => '0', RX_CLK25_DIV => 5, RX_CLKMUX_EN => '1', RX_CLK_SLIP_OVRD => B"00000", RX_CM_BUF_CFG => B"1010", RX_CM_BUF_PD => '0', RX_CM_SEL => B"11", RX_CM_TRIM => B"1010", RX_CTLE3_LPF => B"00000001", RX_DATA_WIDTH => 20, RX_DDI_SEL => B"000000", RX_DEFER_RESET_BUF_EN => "TRUE", RX_DFELPM_CFG0 => B"0110", RX_DFELPM_CFG1 => '1', RX_DFELPM_KLKH_AGC_STUP_EN => '1', RX_DFE_AGC_CFG0 => B"10", RX_DFE_AGC_CFG1 => B"000", RX_DFE_KL_LPM_KH_CFG0 => B"01", RX_DFE_KL_LPM_KH_CFG1 => B"000", RX_DFE_KL_LPM_KL_CFG0 => B"01", RX_DFE_KL_LPM_KL_CFG1 => B"000", RX_DFE_LPM_HOLD_DURING_EIDLE => '0', RX_DISPERR_SEQ_MATCH => "TRUE", RX_DIVRESET_TIME => B"00001", RX_EN_HI_LR => '0', RX_EYESCAN_VS_CODE => B"0000000", RX_EYESCAN_VS_NEG_DIR => '0', RX_EYESCAN_VS_RANGE => B"00", RX_EYESCAN_VS_UT_SIGN => '0', RX_FABINT_USRCLK_FLOP => '0', RX_INT_DATAWIDTH => 0, RX_PMA_POWER_SAVE => '0', RX_PROGDIV_CFG => 0.000000, RX_SAMPLE_PERIOD => B"111", RX_SIG_VALID_DLY => 11, RX_SUM_DFETAPREP_EN => '0', RX_SUM_IREF_TUNE => B"1100", RX_SUM_RES_CTRL => B"11", RX_SUM_VCMTUNE => B"0000", RX_SUM_VCM_OVWR => '0', RX_SUM_VREF_TUNE => B"000", RX_TUNE_AFE_OS => B"10", RX_WIDEMODE_CDR => '0', RX_XCLK_SEL => "RXDES", SAS_MAX_COM => 64, SAS_MIN_COM => 36, SATA_BURST_SEQ_LEN => B"1110", SATA_BURST_VAL => B"100", SATA_CPLL_CFG => "VCO_3000MHZ", SATA_EIDLE_VAL => B"100", SATA_MAX_BURST => 8, SATA_MAX_INIT => 21, SATA_MAX_WAKE => 7, SATA_MIN_BURST => 4, SATA_MIN_INIT => 12, SATA_MIN_WAKE => 4, SHOW_REALIGN_COMMA => "TRUE", SIM_MODE => "FAST", SIM_RECEIVER_DETECT_PASS => "TRUE", SIM_RESET_SPEEDUP => "TRUE", SIM_TX_EIDLE_DRIVE_LEVEL => '0', SIM_VERSION => 2, TAPDLY_SET_TX => B"00", TEMPERATUR_PAR => B"0010", TERM_RCAL_CFG => B"100001000010000", TERM_RCAL_OVRD => B"000", TRANS_TIME_RATE => X"0E", TST_RSV0 => X"00", TST_RSV1 => X"00", TXBUF_EN => "TRUE", TXBUF_RESET_ON_RATE_CHANGE => "TRUE", TXDLY_CFG => X"0009", TXDLY_LCFG => X"0050", TXDRVBIAS_N => B"1010", TXDRVBIAS_P => B"1010", TXFIFO_ADDR_CFG => "LOW", TXGBOX_FIFO_INIT_RD_ADDR => 4, TXGEARBOX_EN => "FALSE", TXOUT_DIV => 4, TXPCSRESET_TIME => B"00011", TXPHDLY_CFG0 => X"2020", TXPHDLY_CFG1 => X"0075", TXPH_CFG => X"0980", TXPH_MONITOR_SEL => B"00000", TXPI_CFG0 => B"01", TXPI_CFG1 => B"01", TXPI_CFG2 => B"01", TXPI_CFG3 => '1', TXPI_CFG4 => '1', TXPI_CFG5 => B"011", TXPI_GRAY_SEL => '0', TXPI_INVSTROBE_SEL => '1', TXPI_LPM => '0', TXPI_PPMCLK_SEL => "TXUSRCLK2", TXPI_PPM_CFG => B"00000000", TXPI_SYNFREQ_PPM => B"001", TXPI_VREFSEL => '0', TXPMARESET_TIME => B"00011", TXSYNC_MULTILANE => '0', TXSYNC_OVRD => '0', TXSYNC_SKIP_DA => '0', TX_CLK25_DIV => 5, TX_CLKMUX_EN => '1', TX_DATA_WIDTH => 20, TX_DCD_CFG => B"000010", TX_DCD_EN => '0', TX_DEEMPH0 => B"000000", TX_DEEMPH1 => B"000000", TX_DIVRESET_TIME => B"00001", TX_DRIVE_MODE => "DIRECT", TX_EIDLE_ASSERT_DELAY => B"100", TX_EIDLE_DEASSERT_DELAY => B"011", TX_EML_PHI_TUNE => '0', TX_FABINT_USRCLK_FLOP => '0', TX_IDLE_DATA_ZERO => '0', TX_INT_DATAWIDTH => 0, TX_LOOPBACK_DRIVE_HIZ => "FALSE", TX_MAINCURSOR_SEL => '0', TX_MARGIN_FULL_0 => B"1001111", TX_MARGIN_FULL_1 => B"1001110", TX_MARGIN_FULL_2 => B"1001100", TX_MARGIN_FULL_3 => B"1001010", TX_MARGIN_FULL_4 => B"1001000", TX_MARGIN_LOW_0 => B"1000110", TX_MARGIN_LOW_1 => B"1000101", TX_MARGIN_LOW_2 => B"1000011", TX_MARGIN_LOW_3 => B"1000010", TX_MARGIN_LOW_4 => B"1000000", TX_MODE_SEL => B"000", TX_PMADATA_OPT => '0', TX_PMA_POWER_SAVE => '0', TX_PROGCLK_SEL => "CPLL", TX_PROGDIV_CFG => 20.000000, TX_QPI_STATUS_EN => '0', TX_RXDETECT_CFG => B"00" & X"032", TX_RXDETECT_REF => B"100", TX_SAMPLE_PERIOD => B"111", TX_SARC_LPBK_ENB => '0', TX_XCLK_SEL => "TXOUT", USE_PCS_CLK_PHASE_SEL => '0', WB_MODE => B"00" ) port map ( BUFGTCE(2) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_289\, BUFGTCE(1) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_290\, BUFGTCE(0) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_291\, BUFGTCEMASK(2) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_292\, BUFGTCEMASK(1) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_293\, BUFGTCEMASK(0) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_294\, BUFGTDIV(8) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_357\, BUFGTDIV(7) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_358\, BUFGTDIV(6) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_359\, BUFGTDIV(5) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_360\, BUFGTDIV(4) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_361\, BUFGTDIV(3) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_362\, BUFGTDIV(2) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_363\, BUFGTDIV(1) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_364\, BUFGTDIV(0) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_365\, BUFGTRESET(2) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_295\, BUFGTRESET(1) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_296\, BUFGTRESET(0) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_297\, BUFGTRSTMASK(2) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_298\, BUFGTRSTMASK(1) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_299\, BUFGTRSTMASK(0) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_300\, CFGRESET => '0', CLKRSVD0 => '0', CLKRSVD1 => '0', CPLLFBCLKLOST => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_0\, CPLLLOCK => \^cplllock_out\(0), CPLLLOCKDETCLK => '0', CPLLLOCKEN => '1', CPLLPD => \gen_gtwizard_gthe3.cpllpd_ch_int\, CPLLREFCLKLOST => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_2\, CPLLREFCLKSEL(2 downto 0) => B"001", CPLLRESET => '0', DMONFIFORESET => '0', DMONITORCLK => '0', DMONITOROUT(16) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_258\, DMONITOROUT(15) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_259\, DMONITOROUT(14) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_260\, DMONITOROUT(13) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_261\, DMONITOROUT(12) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_262\, DMONITOROUT(11) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_263\, DMONITOROUT(10) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_264\, DMONITOROUT(9) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_265\, DMONITOROUT(8) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_266\, DMONITOROUT(7) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_267\, DMONITOROUT(6) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_268\, DMONITOROUT(5) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_269\, DMONITOROUT(4) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_270\, DMONITOROUT(3) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_271\, DMONITOROUT(2) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_272\, DMONITOROUT(1) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_273\, DMONITOROUT(0) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_274\, DRPADDR(8 downto 0) => B"000000000", DRPCLK => drpclk_in(0), DRPDI(15 downto 0) => B"0000000000000000", DRPDO(15) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_210\, DRPDO(14) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_211\, DRPDO(13) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_212\, DRPDO(12) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_213\, DRPDO(11) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_214\, DRPDO(10) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_215\, DRPDO(9) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_216\, DRPDO(8) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_217\, DRPDO(7) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_218\, DRPDO(6) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_219\, DRPDO(5) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_220\, DRPDO(4) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_221\, DRPDO(3) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_222\, DRPDO(2) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_223\, DRPDO(1) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_224\, DRPDO(0) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_225\, DRPEN => '0', DRPRDY => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_3\, DRPWE => '0', EVODDPHICALDONE => '0', EVODDPHICALSTART => '0', EVODDPHIDRDEN => '0', EVODDPHIDWREN => '0', EVODDPHIXRDEN => '0', EVODDPHIXWREN => '0', EYESCANDATAERROR => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_4\, EYESCANMODE => '0', EYESCANRESET => '0', EYESCANTRIGGER => '0', GTGREFCLK => '0', GTHRXN => gthrxn_in(0), GTHRXP => gthrxp_in(0), GTHTXN => gthtxn_out(0), GTHTXP => gthtxp_out(0), GTNORTHREFCLK0 => '0', GTNORTHREFCLK1 => '0', GTPOWERGOOD => gtpowergood_out(0), GTREFCLK0 => gtrefclk0_in(0), GTREFCLK1 => '0', GTREFCLKMONITOR => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_8\, GTRESETSEL => '0', GTRSVD(15 downto 0) => B"0000000000000000", GTRXRESET => \gen_gtwizard_gthe3.gtrxreset_int\, GTSOUTHREFCLK0 => '0', GTSOUTHREFCLK1 => '0', GTTXRESET => \gen_gtwizard_gthe3.gttxreset_int\, LOOPBACK(2 downto 0) => B"000", LPBKRXTXSEREN => '0', LPBKTXRXSEREN => '0', PCIEEQRXEQADAPTDONE => '0', PCIERATEGEN3 => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_9\, PCIERATEIDLE => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_10\, PCIERATEQPLLPD(1) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_275\, PCIERATEQPLLPD(0) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_276\, PCIERATEQPLLRESET(1) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_277\, PCIERATEQPLLRESET(0) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_278\, PCIERSTIDLE => '0', PCIERSTTXSYNCSTART => '0', PCIESYNCTXSYNCDONE => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_11\, PCIEUSERGEN3RDY => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_12\, PCIEUSERPHYSTATUSRST => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_13\, PCIEUSERRATEDONE => '0', PCIEUSERRATESTART => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_14\, PCSRSVDIN(15 downto 0) => B"0000000000000000", PCSRSVDIN2(4 downto 0) => B"00000", PCSRSVDOUT(11) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_70\, PCSRSVDOUT(10) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_71\, PCSRSVDOUT(9) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_72\, PCSRSVDOUT(8) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_73\, PCSRSVDOUT(7) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_74\, PCSRSVDOUT(6) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_75\, PCSRSVDOUT(5) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_76\, PCSRSVDOUT(4) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_77\, PCSRSVDOUT(3) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_78\, PCSRSVDOUT(2) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_79\, PCSRSVDOUT(1) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_80\, PCSRSVDOUT(0) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_81\, PHYSTATUS => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_15\, PINRSRVDAS(7) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_325\, PINRSRVDAS(6) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_326\, PINRSRVDAS(5) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_327\, PINRSRVDAS(4) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_328\, PINRSRVDAS(3) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_329\, PINRSRVDAS(2) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_330\, PINRSRVDAS(1) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_331\, PINRSRVDAS(0) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_332\, PMARSVDIN(4 downto 0) => B"00000", QPLL0CLK => '0', QPLL0REFCLK => '0', QPLL1CLK => '0', QPLL1REFCLK => '0', RESETEXCEPTION => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_16\, RESETOVRD => '0', RSTCLKENTX => '0', RX8B10BEN => '1', RXBUFRESET => '0', RXBUFSTATUS(2) => rxbufstatus_out(0), RXBUFSTATUS(1) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_302\, RXBUFSTATUS(0) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_303\, RXBYTEISALIGNED => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_17\, RXBYTEREALIGN => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_18\, RXCDRFREQRESET => '0', RXCDRHOLD => '0', RXCDRLOCK => rxcdrlock_out(0), RXCDROVRDEN => '0', RXCDRPHDONE => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_20\, RXCDRRESET => '0', RXCDRRESETRSV => '0', RXCHANBONDSEQ => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_21\, RXCHANISALIGNED => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_22\, RXCHANREALIGN => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_23\, RXCHBONDEN => '0', RXCHBONDI(4 downto 0) => B"00000", RXCHBONDLEVEL(2 downto 0) => B"000", RXCHBONDMASTER => '0', RXCHBONDO(4) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_307\, RXCHBONDO(3) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_308\, RXCHBONDO(2) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_309\, RXCHBONDO(1) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_310\, RXCHBONDO(0) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_311\, RXCHBONDSLAVE => '0', RXCLKCORCNT(1 downto 0) => rxclkcorcnt_out(1 downto 0), RXCOMINITDET => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_24\, RXCOMMADET => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_25\, RXCOMMADETEN => '1', RXCOMSASDET => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_26\, RXCOMWAKEDET => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_27\, RXCTRL0(15) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_226\, RXCTRL0(14) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_227\, RXCTRL0(13) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_228\, RXCTRL0(12) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_229\, RXCTRL0(11) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_230\, RXCTRL0(10) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_231\, RXCTRL0(9) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_232\, RXCTRL0(8) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_233\, RXCTRL0(7) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_234\, RXCTRL0(6) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_235\, RXCTRL0(5) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_236\, RXCTRL0(4) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_237\, RXCTRL0(3) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_238\, RXCTRL0(2) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_239\, RXCTRL0(1 downto 0) => rxctrl0_out(1 downto 0), RXCTRL1(15) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_242\, RXCTRL1(14) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_243\, RXCTRL1(13) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_244\, RXCTRL1(12) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_245\, RXCTRL1(11) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_246\, RXCTRL1(10) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_247\, RXCTRL1(9) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_248\, RXCTRL1(8) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_249\, RXCTRL1(7) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_250\, RXCTRL1(6) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_251\, RXCTRL1(5) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_252\, RXCTRL1(4) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_253\, RXCTRL1(3) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_254\, RXCTRL1(2) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_255\, RXCTRL1(1 downto 0) => rxctrl1_out(1 downto 0), RXCTRL2(7) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_333\, RXCTRL2(6) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_334\, RXCTRL2(5) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_335\, RXCTRL2(4) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_336\, RXCTRL2(3) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_337\, RXCTRL2(2) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_338\, RXCTRL2(1 downto 0) => rxctrl2_out(1 downto 0), RXCTRL3(7) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_341\, RXCTRL3(6) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_342\, RXCTRL3(5) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_343\, RXCTRL3(4) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_344\, RXCTRL3(3) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_345\, RXCTRL3(2) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_346\, RXCTRL3(1 downto 0) => rxctrl3_out(1 downto 0), RXDATA(127) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_82\, RXDATA(126) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_83\, RXDATA(125) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_84\, RXDATA(124) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_85\, RXDATA(123) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_86\, RXDATA(122) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_87\, RXDATA(121) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_88\, RXDATA(120) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_89\, RXDATA(119) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_90\, RXDATA(118) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_91\, RXDATA(117) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_92\, RXDATA(116) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_93\, RXDATA(115) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_94\, RXDATA(114) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_95\, RXDATA(113) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_96\, RXDATA(112) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_97\, RXDATA(111) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_98\, RXDATA(110) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_99\, RXDATA(109) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_100\, RXDATA(108) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_101\, RXDATA(107) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_102\, RXDATA(106) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_103\, RXDATA(105) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_104\, RXDATA(104) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_105\, RXDATA(103) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_106\, RXDATA(102) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_107\, RXDATA(101) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_108\, RXDATA(100) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_109\, RXDATA(99) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_110\, RXDATA(98) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_111\, RXDATA(97) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_112\, RXDATA(96) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_113\, RXDATA(95) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_114\, RXDATA(94) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_115\, RXDATA(93) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_116\, RXDATA(92) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_117\, RXDATA(91) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_118\, RXDATA(90) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_119\, RXDATA(89) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_120\, RXDATA(88) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_121\, RXDATA(87) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_122\, RXDATA(86) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_123\, RXDATA(85) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_124\, RXDATA(84) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_125\, RXDATA(83) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_126\, RXDATA(82) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_127\, RXDATA(81) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_128\, RXDATA(80) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_129\, RXDATA(79) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_130\, RXDATA(78) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_131\, RXDATA(77) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_132\, RXDATA(76) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_133\, RXDATA(75) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_134\, RXDATA(74) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_135\, RXDATA(73) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_136\, RXDATA(72) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_137\, RXDATA(71) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_138\, RXDATA(70) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_139\, RXDATA(69) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_140\, RXDATA(68) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_141\, RXDATA(67) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_142\, RXDATA(66) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_143\, RXDATA(65) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_144\, RXDATA(64) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_145\, RXDATA(63) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_146\, RXDATA(62) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_147\, RXDATA(61) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_148\, RXDATA(60) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_149\, RXDATA(59) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_150\, RXDATA(58) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_151\, RXDATA(57) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_152\, RXDATA(56) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_153\, RXDATA(55) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_154\, RXDATA(54) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_155\, RXDATA(53) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_156\, RXDATA(52) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_157\, RXDATA(51) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_158\, RXDATA(50) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_159\, RXDATA(49) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_160\, RXDATA(48) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_161\, RXDATA(47) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_162\, RXDATA(46) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_163\, RXDATA(45) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_164\, RXDATA(44) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_165\, RXDATA(43) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_166\, RXDATA(42) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_167\, RXDATA(41) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_168\, RXDATA(40) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_169\, RXDATA(39) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_170\, RXDATA(38) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_171\, RXDATA(37) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_172\, RXDATA(36) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_173\, RXDATA(35) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_174\, RXDATA(34) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_175\, RXDATA(33) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_176\, RXDATA(32) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_177\, RXDATA(31) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_178\, RXDATA(30) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_179\, RXDATA(29) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_180\, RXDATA(28) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_181\, RXDATA(27) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_182\, RXDATA(26) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_183\, RXDATA(25) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_184\, RXDATA(24) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_185\, RXDATA(23) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_186\, RXDATA(22) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_187\, RXDATA(21) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_188\, RXDATA(20) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_189\, RXDATA(19) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_190\, RXDATA(18) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_191\, RXDATA(17) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_192\, RXDATA(16) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_193\, RXDATA(15 downto 0) => gtwiz_userdata_rx_out(15 downto 0), RXDATAEXTENDRSVD(7) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_349\, RXDATAEXTENDRSVD(6) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_350\, RXDATAEXTENDRSVD(5) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_351\, RXDATAEXTENDRSVD(4) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_352\, RXDATAEXTENDRSVD(3) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_353\, RXDATAEXTENDRSVD(2) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_354\, RXDATAEXTENDRSVD(1) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_355\, RXDATAEXTENDRSVD(0) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_356\, RXDATAVALID(1) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_281\, RXDATAVALID(0) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_282\, RXDFEAGCCTRL(1 downto 0) => B"01", RXDFEAGCHOLD => '0', RXDFEAGCOVRDEN => '0', RXDFELFHOLD => '0', RXDFELFOVRDEN => '0', RXDFELPMRESET => '0', RXDFETAP10HOLD => '0', RXDFETAP10OVRDEN => '0', RXDFETAP11HOLD => '0', RXDFETAP11OVRDEN => '0', RXDFETAP12HOLD => '0', RXDFETAP12OVRDEN => '0', RXDFETAP13HOLD => '0', RXDFETAP13OVRDEN => '0', RXDFETAP14HOLD => '0', RXDFETAP14OVRDEN => '0', RXDFETAP15HOLD => '0', RXDFETAP15OVRDEN => '0', RXDFETAP2HOLD => '0', RXDFETAP2OVRDEN => '0', RXDFETAP3HOLD => '0', RXDFETAP3OVRDEN => '0', RXDFETAP4HOLD => '0', RXDFETAP4OVRDEN => '0', RXDFETAP5HOLD => '0', RXDFETAP5OVRDEN => '0', RXDFETAP6HOLD => '0', RXDFETAP6OVRDEN => '0', RXDFETAP7HOLD => '0', RXDFETAP7OVRDEN => '0', RXDFETAP8HOLD => '0', RXDFETAP8OVRDEN => '0', RXDFETAP9HOLD => '0', RXDFETAP9OVRDEN => '0', RXDFEUTHOLD => '0', RXDFEUTOVRDEN => '0', RXDFEVPHOLD => '0', RXDFEVPOVRDEN => '0', RXDFEVSEN => '0', RXDFEXYDEN => '1', RXDLYBYPASS => '1', RXDLYEN => '0', RXDLYOVRDEN => '0', RXDLYSRESET => '0', RXDLYSRESETDONE => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_28\, RXELECIDLE => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_29\, RXELECIDLEMODE(1 downto 0) => B"11", RXGEARBOXSLIP => '0', RXHEADER(5) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_312\, RXHEADER(4) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_313\, RXHEADER(3) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_314\, RXHEADER(2) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_315\, RXHEADER(1) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_316\, RXHEADER(0) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_317\, RXHEADERVALID(1) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_283\, RXHEADERVALID(0) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_284\, RXLATCLK => '0', RXLPMEN => '1', RXLPMGCHOLD => '0', RXLPMGCOVRDEN => '0', RXLPMHFHOLD => '0', RXLPMHFOVRDEN => '0', RXLPMLFHOLD => '0', RXLPMLFKLOVRDEN => '0', RXLPMOSHOLD => '0', RXLPMOSOVRDEN => '0', RXMCOMMAALIGNEN => rxmcommaalignen_in(0), RXMONITOROUT(6) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_318\, RXMONITOROUT(5) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_319\, RXMONITOROUT(4) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_320\, RXMONITOROUT(3) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_321\, RXMONITOROUT(2) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_322\, RXMONITOROUT(1) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_323\, RXMONITOROUT(0) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_324\, RXMONITORSEL(1 downto 0) => B"00", RXOOBRESET => '0', RXOSCALRESET => '0', RXOSHOLD => '0', RXOSINTCFG(3 downto 0) => B"1101", RXOSINTDONE => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_30\, RXOSINTEN => '1', RXOSINTHOLD => '0', RXOSINTOVRDEN => '0', RXOSINTSTARTED => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_31\, RXOSINTSTROBE => '0', RXOSINTSTROBEDONE => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_32\, RXOSINTSTROBESTARTED => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_33\, RXOSINTTESTOVRDEN => '0', RXOSOVRDEN => '0', RXOUTCLK => rxoutclk_out(0), RXOUTCLKFABRIC => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_35\, RXOUTCLKPCS => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_36\, RXOUTCLKSEL(2 downto 0) => B"010", RXPCOMMAALIGNEN => rxmcommaalignen_in(0), RXPCSRESET => '0', RXPD(1) => rxpd_in(0), RXPD(0) => rxpd_in(0), RXPHALIGN => '0', RXPHALIGNDONE => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_37\, RXPHALIGNEN => '0', RXPHALIGNERR => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_38\, RXPHDLYPD => '1', RXPHDLYRESET => '0', RXPHOVRDEN => '0', RXPLLCLKSEL(1 downto 0) => B"00", RXPMARESET => '0', RXPMARESETDONE => rxpmaresetdone_out(0), RXPOLARITY => '0', RXPRBSCNTRESET => '0', RXPRBSERR => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_40\, RXPRBSLOCKED => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_41\, RXPRBSSEL(3 downto 0) => B"0000", RXPRGDIVRESETDONE => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_42\, RXPROGDIVRESET => \gen_gtwizard_gthe3.rxprogdivreset_int\, RXQPIEN => '0', RXQPISENN => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_43\, RXQPISENP => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_44\, RXRATE(2 downto 0) => B"000", RXRATEDONE => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_45\, RXRATEMODE => '0', RXRECCLKOUT => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_46\, RXRESETDONE => rxresetdone_out(0), RXSLIDE => '0', RXSLIDERDY => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_48\, RXSLIPDONE => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_49\, RXSLIPOUTCLK => '0', RXSLIPOUTCLKRDY => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_50\, RXSLIPPMA => '0', RXSLIPPMARDY => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_51\, RXSTARTOFSEQ(1) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_285\, RXSTARTOFSEQ(0) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_286\, RXSTATUS(2) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_304\, RXSTATUS(1) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_305\, RXSTATUS(0) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_306\, RXSYNCALLIN => '0', RXSYNCDONE => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_52\, RXSYNCIN => '0', RXSYNCMODE => '0', RXSYNCOUT => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_53\, RXSYSCLKSEL(1 downto 0) => B"00", RXUSERRDY => \gen_gtwizard_gthe3.rxuserrdy_int\, RXUSRCLK => rxusrclk_in(0), RXUSRCLK2 => rxusrclk_in(0), RXVALID => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_54\, SIGVALIDCLK => '0', TSTIN(19 downto 0) => B"00000000000000000000", TX8B10BBYPASS(7 downto 0) => B"00000000", TX8B10BEN => '1', TXBUFDIFFCTRL(2 downto 0) => B"000", TXBUFSTATUS(1) => txbufstatus_out(0), TXBUFSTATUS(0) => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_288\, TXCOMFINISH => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_55\, TXCOMINIT => '0', TXCOMSAS => '0', TXCOMWAKE => '0', TXCTRL0(15 downto 2) => B"00000000000000", TXCTRL0(1 downto 0) => txctrl0_in(1 downto 0), TXCTRL1(15 downto 2) => B"00000000000000", TXCTRL1(1 downto 0) => txctrl1_in(1 downto 0), TXCTRL2(7 downto 2) => B"000000", TXCTRL2(1 downto 0) => txctrl2_in(1 downto 0), TXDATA(127 downto 16) => B"0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", TXDATA(15 downto 0) => gtwiz_userdata_tx_in(15 downto 0), TXDATAEXTENDRSVD(7 downto 0) => B"00000000", TXDEEMPH => '0', TXDETECTRX => '0', TXDIFFCTRL(3 downto 0) => B"1000", TXDIFFPD => '0', TXDLYBYPASS => '1', TXDLYEN => '0', TXDLYHOLD => '0', TXDLYOVRDEN => '0', TXDLYSRESET => '0', TXDLYSRESETDONE => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_56\, TXDLYUPDOWN => '0', TXELECIDLE => txelecidle_in(0), TXHEADER(5 downto 0) => B"000000", TXINHIBIT => '0', TXLATCLK => '0', TXMAINCURSOR(6 downto 0) => B"1000000", TXMARGIN(2 downto 0) => B"000", TXOUTCLK => txoutclk_out(0), TXOUTCLKFABRIC => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_58\, TXOUTCLKPCS => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_59\, TXOUTCLKSEL(2 downto 0) => B"101", TXPCSRESET => '0', TXPD(1) => txelecidle_in(0), TXPD(0) => txelecidle_in(0), TXPDELECIDLEMODE => '0', TXPHALIGN => '0', TXPHALIGNDONE => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_60\, TXPHALIGNEN => '0', TXPHDLYPD => '1', TXPHDLYRESET => '0', TXPHDLYTSTCLK => '0', TXPHINIT => '0', TXPHINITDONE => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_61\, TXPHOVRDEN => '0', TXPIPPMEN => '0', TXPIPPMOVRDEN => '0', TXPIPPMPD => '0', TXPIPPMSEL => '0', TXPIPPMSTEPSIZE(4 downto 0) => B"00000", TXPISOPD => '0', TXPLLCLKSEL(1 downto 0) => B"00", TXPMARESET => '0', TXPMARESETDONE => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_62\, TXPOLARITY => '0', TXPOSTCURSOR(4 downto 0) => B"00000", TXPOSTCURSORINV => '0', TXPRBSFORCEERR => '0', TXPRBSSEL(3 downto 0) => B"0000", TXPRECURSOR(4 downto 0) => B"00000", TXPRECURSORINV => '0', TXPRGDIVRESETDONE => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_63\, TXPROGDIVRESET => \gen_gtwizard_gthe3.txprogdivreset_int\, TXQPIBIASEN => '0', TXQPISENN => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_64\, TXQPISENP => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_65\, TXQPISTRONGPDOWN => '0', TXQPIWEAKPUP => '0', TXRATE(2 downto 0) => B"000", TXRATEDONE => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_66\, TXRATEMODE => '0', TXRESETDONE => txresetdone_out(0), TXSEQUENCE(6 downto 0) => B"0000000", TXSWING => '0', TXSYNCALLIN => '0', TXSYNCDONE => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_68\, TXSYNCIN => '0', TXSYNCMODE => '0', TXSYNCOUT => \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_n_69\, TXSYSCLKSEL(1 downto 0) => B"00", TXUSERRDY => \gen_gtwizard_gthe3.txuserrdy_int\, TXUSRCLK => rxusrclk_in(0), TXUSRCLK2 => rxusrclk_in(0) ); \rst_in_meta_i_1__2\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^cplllock_out\(0), O => rst_in0 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_inv_synchronizer is port ( gtwiz_reset_rx_done_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxusrclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rst_in_sync2_reg_0 : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_inv_synchronizer; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_inv_synchronizer is signal rst_in_meta : STD_LOGIC; attribute async_reg : string; attribute async_reg of rst_in_meta : signal is "true"; signal \rst_in_out_i_1__0_n_0\ : STD_LOGIC; signal rst_in_sync1 : STD_LOGIC; attribute async_reg of rst_in_sync1 : signal is "true"; signal rst_in_sync2 : STD_LOGIC; attribute async_reg of rst_in_sync2 : signal is "true"; signal rst_in_sync3 : STD_LOGIC; attribute async_reg of rst_in_sync3 : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of rst_in_meta_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of rst_in_meta_reg : label is "yes"; attribute ASYNC_REG_boolean of rst_in_sync1_reg : label is std.standard.true; attribute KEEP of rst_in_sync1_reg : label is "yes"; attribute ASYNC_REG_boolean of rst_in_sync2_reg : label is std.standard.true; attribute KEEP of rst_in_sync2_reg : label is "yes"; attribute ASYNC_REG_boolean of rst_in_sync3_reg : label is std.standard.true; attribute KEEP of rst_in_sync3_reg : label is "yes"; begin rst_in_meta_reg: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rxusrclk_in(0), CE => '1', CLR => \rst_in_out_i_1__0_n_0\, D => '1', Q => rst_in_meta ); \rst_in_out_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => rst_in_sync2_reg_0, O => \rst_in_out_i_1__0_n_0\ ); rst_in_out_reg: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rxusrclk_in(0), CE => '1', CLR => \rst_in_out_i_1__0_n_0\, D => rst_in_sync3, Q => gtwiz_reset_rx_done_out(0) ); rst_in_sync1_reg: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rxusrclk_in(0), CE => '1', CLR => \rst_in_out_i_1__0_n_0\, D => rst_in_meta, Q => rst_in_sync1 ); rst_in_sync2_reg: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rxusrclk_in(0), CE => '1', CLR => \rst_in_out_i_1__0_n_0\, D => rst_in_sync1, Q => rst_in_sync2 ); rst_in_sync3_reg: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rxusrclk_in(0), CE => '1', CLR => \rst_in_out_i_1__0_n_0\, D => rst_in_sync2, Q => rst_in_sync3 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_inv_synchronizer_20 is port ( gtwiz_reset_tx_done_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxusrclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rst_in_sync2_reg_0 : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_inv_synchronizer_20 : entity is "gtwizard_ultrascale_v1_7_9_reset_inv_synchronizer"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_inv_synchronizer_20; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_inv_synchronizer_20 is signal rst_in_meta : STD_LOGIC; attribute async_reg : string; attribute async_reg of rst_in_meta : signal is "true"; signal rst_in_out_i_1_n_0 : STD_LOGIC; signal rst_in_sync1 : STD_LOGIC; attribute async_reg of rst_in_sync1 : signal is "true"; signal rst_in_sync2 : STD_LOGIC; attribute async_reg of rst_in_sync2 : signal is "true"; signal rst_in_sync3 : STD_LOGIC; attribute async_reg of rst_in_sync3 : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of rst_in_meta_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of rst_in_meta_reg : label is "yes"; attribute ASYNC_REG_boolean of rst_in_sync1_reg : label is std.standard.true; attribute KEEP of rst_in_sync1_reg : label is "yes"; attribute ASYNC_REG_boolean of rst_in_sync2_reg : label is std.standard.true; attribute KEEP of rst_in_sync2_reg : label is "yes"; attribute ASYNC_REG_boolean of rst_in_sync3_reg : label is std.standard.true; attribute KEEP of rst_in_sync3_reg : label is "yes"; begin rst_in_meta_reg: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rxusrclk_in(0), CE => '1', CLR => rst_in_out_i_1_n_0, D => '1', Q => rst_in_meta ); rst_in_out_i_1: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => rst_in_sync2_reg_0, O => rst_in_out_i_1_n_0 ); rst_in_out_reg: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rxusrclk_in(0), CE => '1', CLR => rst_in_out_i_1_n_0, D => rst_in_sync3, Q => gtwiz_reset_tx_done_out(0) ); rst_in_sync1_reg: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rxusrclk_in(0), CE => '1', CLR => rst_in_out_i_1_n_0, D => rst_in_meta, Q => rst_in_sync1 ); rst_in_sync2_reg: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rxusrclk_in(0), CE => '1', CLR => rst_in_out_i_1_n_0, D => rst_in_sync1, Q => rst_in_sync2 ); rst_in_sync3_reg: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rxusrclk_in(0), CE => '1', CLR => rst_in_out_i_1_n_0, D => rst_in_sync2, Q => rst_in_sync3 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_synchronizer is port ( gtwiz_reset_all_sync : out STD_LOGIC; drpclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_reset_all_in : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_synchronizer; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_synchronizer is signal rst_in_meta : STD_LOGIC; attribute async_reg : string; attribute async_reg of rst_in_meta : signal is "true"; signal rst_in_sync1 : STD_LOGIC; attribute async_reg of rst_in_sync1 : signal is "true"; signal rst_in_sync2 : STD_LOGIC; attribute async_reg of rst_in_sync2 : signal is "true"; signal rst_in_sync3 : STD_LOGIC; attribute async_reg of rst_in_sync3 : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of rst_in_meta_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of rst_in_meta_reg : label is "yes"; attribute ASYNC_REG_boolean of rst_in_sync1_reg : label is std.standard.true; attribute KEEP of rst_in_sync1_reg : label is "yes"; attribute ASYNC_REG_boolean of rst_in_sync2_reg : label is std.standard.true; attribute KEEP of rst_in_sync2_reg : label is "yes"; attribute ASYNC_REG_boolean of rst_in_sync3_reg : label is std.standard.true; attribute KEEP of rst_in_sync3_reg : label is "yes"; begin rst_in_meta_reg: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => '0', PRE => gtwiz_reset_all_in(0), Q => rst_in_meta ); rst_in_out_reg: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => rst_in_sync3, PRE => gtwiz_reset_all_in(0), Q => gtwiz_reset_all_sync ); rst_in_sync1_reg: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => rst_in_meta, PRE => gtwiz_reset_all_in(0), Q => rst_in_sync1 ); rst_in_sync2_reg: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => rst_in_sync1, PRE => gtwiz_reset_all_in(0), Q => rst_in_sync2 ); rst_in_sync3_reg: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => rst_in_sync2, PRE => gtwiz_reset_all_in(0), Q => rst_in_sync3 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_synchronizer_14 is port ( gtwiz_reset_rx_any_sync : out STD_LOGIC; \FSM_sequential_sm_reset_rx_reg[1]\ : out STD_LOGIC; \FSM_sequential_sm_reset_rx_reg[1]_0\ : out STD_LOGIC; \FSM_sequential_sm_reset_rx_reg[1]_1\ : out STD_LOGIC; drpclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 2 downto 0 ); \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_pllreset_rx_int\ : in STD_LOGIC; rxprogdivreset_out_reg : in STD_LOGIC; \gen_gtwizard_gthe3.rxprogdivreset_int\ : in STD_LOGIC; plllock_rx_sync : in STD_LOGIC; gtrxreset_out_reg : in STD_LOGIC; \gen_gtwizard_gthe3.gtrxreset_int\ : in STD_LOGIC; rst_in_out_reg_0 : in STD_LOGIC; gtwiz_reset_rx_datapath_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rst_in_out_reg_1 : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_synchronizer_14 : entity is "gtwizard_ultrascale_v1_7_9_reset_synchronizer"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_synchronizer_14; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_synchronizer_14 is signal gtrxreset_out_i_2_n_0 : STD_LOGIC; signal gtwiz_reset_rx_any : STD_LOGIC; signal \^gtwiz_reset_rx_any_sync\ : STD_LOGIC; signal rst_in_meta : STD_LOGIC; attribute async_reg : string; attribute async_reg of rst_in_meta : signal is "true"; signal rst_in_sync1 : STD_LOGIC; attribute async_reg of rst_in_sync1 : signal is "true"; signal rst_in_sync2 : STD_LOGIC; attribute async_reg of rst_in_sync2 : signal is "true"; signal rst_in_sync3 : STD_LOGIC; attribute async_reg of rst_in_sync3 : signal is "true"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of gtrxreset_out_i_2 : label is "soft_lutpair40"; attribute SOFT_HLUTNM of pllreset_rx_out_i_1 : label is "soft_lutpair40"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of rst_in_meta_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of rst_in_meta_reg : label is "yes"; attribute ASYNC_REG_boolean of rst_in_sync1_reg : label is std.standard.true; attribute KEEP of rst_in_sync1_reg : label is "yes"; attribute ASYNC_REG_boolean of rst_in_sync2_reg : label is std.standard.true; attribute KEEP of rst_in_sync2_reg : label is "yes"; attribute ASYNC_REG_boolean of rst_in_sync3_reg : label is std.standard.true; attribute KEEP of rst_in_sync3_reg : label is "yes"; begin gtwiz_reset_rx_any_sync <= \^gtwiz_reset_rx_any_sync\; gtrxreset_out_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF44884488" ) port map ( I0 => Q(1), I1 => gtrxreset_out_i_2_n_0, I2 => plllock_rx_sync, I3 => Q(0), I4 => gtrxreset_out_reg, I5 => \gen_gtwizard_gthe3.gtrxreset_int\, O => \FSM_sequential_sm_reset_rx_reg[1]_1\ ); gtrxreset_out_i_2: unisim.vcomponents.LUT2 generic map( INIT => X"1" ) port map ( I0 => \^gtwiz_reset_rx_any_sync\, I1 => Q(2), O => gtrxreset_out_i_2_n_0 ); pllreset_rx_out_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"FDFF0100" ) port map ( I0 => Q(1), I1 => Q(2), I2 => \^gtwiz_reset_rx_any_sync\, I3 => Q(0), I4 => \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_pllreset_rx_int\, O => \FSM_sequential_sm_reset_rx_reg[1]\ ); rst_in_meta_i_1: unisim.vcomponents.LUT3 generic map( INIT => X"FE" ) port map ( I0 => rst_in_out_reg_0, I1 => gtwiz_reset_rx_datapath_in(0), I2 => rst_in_out_reg_1, O => gtwiz_reset_rx_any ); rst_in_meta_reg: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => '0', PRE => gtwiz_reset_rx_any, Q => rst_in_meta ); rst_in_out_reg: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => rst_in_sync3, PRE => gtwiz_reset_rx_any, Q => \^gtwiz_reset_rx_any_sync\ ); rst_in_sync1_reg: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => rst_in_meta, PRE => gtwiz_reset_rx_any, Q => rst_in_sync1 ); rst_in_sync2_reg: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => rst_in_sync1, PRE => gtwiz_reset_rx_any, Q => rst_in_sync2 ); rst_in_sync3_reg: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => rst_in_sync2, PRE => gtwiz_reset_rx_any, Q => rst_in_sync3 ); rxprogdivreset_out_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"FFFBFFFF00120012" ) port map ( I0 => Q(1), I1 => Q(2), I2 => Q(0), I3 => \^gtwiz_reset_rx_any_sync\, I4 => rxprogdivreset_out_reg, I5 => \gen_gtwizard_gthe3.rxprogdivreset_int\, O => \FSM_sequential_sm_reset_rx_reg[1]_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_synchronizer_15 is port ( in0 : out STD_LOGIC; drpclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_reset_rx_datapath_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rst_in_out_reg_0 : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_synchronizer_15 : entity is "gtwizard_ultrascale_v1_7_9_reset_synchronizer"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_synchronizer_15; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_synchronizer_15 is signal rst_in0_0 : STD_LOGIC; signal rst_in_meta : STD_LOGIC; attribute async_reg : string; attribute async_reg of rst_in_meta : signal is "true"; signal rst_in_sync1 : STD_LOGIC; attribute async_reg of rst_in_sync1 : signal is "true"; signal rst_in_sync2 : STD_LOGIC; attribute async_reg of rst_in_sync2 : signal is "true"; signal rst_in_sync3 : STD_LOGIC; attribute async_reg of rst_in_sync3 : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of rst_in_meta_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of rst_in_meta_reg : label is "yes"; attribute ASYNC_REG_boolean of rst_in_sync1_reg : label is std.standard.true; attribute KEEP of rst_in_sync1_reg : label is "yes"; attribute ASYNC_REG_boolean of rst_in_sync2_reg : label is std.standard.true; attribute KEEP of rst_in_sync2_reg : label is "yes"; attribute ASYNC_REG_boolean of rst_in_sync3_reg : label is std.standard.true; attribute KEEP of rst_in_sync3_reg : label is "yes"; begin \rst_in_meta_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => gtwiz_reset_rx_datapath_in(0), I1 => rst_in_out_reg_0, O => rst_in0_0 ); rst_in_meta_reg: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => '0', PRE => rst_in0_0, Q => rst_in_meta ); rst_in_out_reg: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => rst_in_sync3, PRE => rst_in0_0, Q => in0 ); rst_in_sync1_reg: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => rst_in_meta, PRE => rst_in0_0, Q => rst_in_sync1 ); rst_in_sync2_reg: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => rst_in_sync1, PRE => rst_in0_0, Q => rst_in_sync2 ); rst_in_sync3_reg: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => rst_in_sync2, PRE => rst_in0_0, Q => rst_in_sync3 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_synchronizer_16 is port ( in0 : out STD_LOGIC; drpclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rst_in_meta_reg_0 : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_synchronizer_16 : entity is "gtwizard_ultrascale_v1_7_9_reset_synchronizer"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_synchronizer_16; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_synchronizer_16 is signal rst_in_meta : STD_LOGIC; attribute async_reg : string; attribute async_reg of rst_in_meta : signal is "true"; signal rst_in_sync1 : STD_LOGIC; attribute async_reg of rst_in_sync1 : signal is "true"; signal rst_in_sync2 : STD_LOGIC; attribute async_reg of rst_in_sync2 : signal is "true"; signal rst_in_sync3 : STD_LOGIC; attribute async_reg of rst_in_sync3 : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of rst_in_meta_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of rst_in_meta_reg : label is "yes"; attribute ASYNC_REG_boolean of rst_in_sync1_reg : label is std.standard.true; attribute KEEP of rst_in_sync1_reg : label is "yes"; attribute ASYNC_REG_boolean of rst_in_sync2_reg : label is std.standard.true; attribute KEEP of rst_in_sync2_reg : label is "yes"; attribute ASYNC_REG_boolean of rst_in_sync3_reg : label is std.standard.true; attribute KEEP of rst_in_sync3_reg : label is "yes"; begin rst_in_meta_reg: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => '0', PRE => rst_in_meta_reg_0, Q => rst_in_meta ); rst_in_out_reg: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => rst_in_sync3, PRE => rst_in_meta_reg_0, Q => in0 ); rst_in_sync1_reg: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => rst_in_meta, PRE => rst_in_meta_reg_0, Q => rst_in_sync1 ); rst_in_sync2_reg: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => rst_in_sync1, PRE => rst_in_meta_reg_0, Q => rst_in_sync2 ); rst_in_sync3_reg: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => rst_in_sync2, PRE => rst_in_meta_reg_0, Q => rst_in_sync3 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_synchronizer_17 is port ( gtwiz_reset_tx_any_sync : out STD_LOGIC; \FSM_sequential_sm_reset_tx_reg[1]\ : out STD_LOGIC; \FSM_sequential_sm_reset_tx_reg[1]_0\ : out STD_LOGIC; \FSM_sequential_sm_reset_tx_reg[0]\ : out STD_LOGIC; drpclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_reset_tx_datapath_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rst_in_out_reg_0 : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 2 downto 0 ); \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_pllreset_tx_int\ : in STD_LOGIC; plllock_tx_sync : in STD_LOGIC; gttxreset_out_reg : in STD_LOGIC; \gen_gtwizard_gthe3.gttxreset_int\ : in STD_LOGIC; txuserrdy_out_reg : in STD_LOGIC; gtwiz_reset_userclk_tx_active_sync : in STD_LOGIC; \gen_gtwizard_gthe3.txuserrdy_int\ : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_synchronizer_17 : entity is "gtwizard_ultrascale_v1_7_9_reset_synchronizer"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_synchronizer_17; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_synchronizer_17 is signal gttxreset_out_i_2_n_0 : STD_LOGIC; signal gtwiz_reset_tx_any : STD_LOGIC; signal \^gtwiz_reset_tx_any_sync\ : STD_LOGIC; signal rst_in_meta : STD_LOGIC; attribute async_reg : string; attribute async_reg of rst_in_meta : signal is "true"; signal rst_in_sync1 : STD_LOGIC; attribute async_reg of rst_in_sync1 : signal is "true"; signal rst_in_sync2 : STD_LOGIC; attribute async_reg of rst_in_sync2 : signal is "true"; signal rst_in_sync3 : STD_LOGIC; attribute async_reg of rst_in_sync3 : signal is "true"; signal txuserrdy_out_i_2_n_0 : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of pllreset_tx_out_i_1 : label is "soft_lutpair41"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of rst_in_meta_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of rst_in_meta_reg : label is "yes"; attribute ASYNC_REG_boolean of rst_in_sync1_reg : label is std.standard.true; attribute KEEP of rst_in_sync1_reg : label is "yes"; attribute ASYNC_REG_boolean of rst_in_sync2_reg : label is std.standard.true; attribute KEEP of rst_in_sync2_reg : label is "yes"; attribute ASYNC_REG_boolean of rst_in_sync3_reg : label is std.standard.true; attribute KEEP of rst_in_sync3_reg : label is "yes"; attribute SOFT_HLUTNM of txuserrdy_out_i_2 : label is "soft_lutpair41"; begin gtwiz_reset_tx_any_sync <= \^gtwiz_reset_tx_any_sync\; gttxreset_out_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF44884488" ) port map ( I0 => Q(1), I1 => gttxreset_out_i_2_n_0, I2 => plllock_tx_sync, I3 => Q(0), I4 => gttxreset_out_reg, I5 => \gen_gtwizard_gthe3.gttxreset_int\, O => \FSM_sequential_sm_reset_tx_reg[1]_0\ ); gttxreset_out_i_2: unisim.vcomponents.LUT2 generic map( INIT => X"1" ) port map ( I0 => \^gtwiz_reset_tx_any_sync\, I1 => Q(2), O => gttxreset_out_i_2_n_0 ); pllreset_tx_out_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"FDFF0100" ) port map ( I0 => Q(1), I1 => Q(2), I2 => \^gtwiz_reset_tx_any_sync\, I3 => Q(0), I4 => \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_pllreset_tx_int\, O => \FSM_sequential_sm_reset_tx_reg[1]\ ); \rst_in_meta_i_1__1\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => gtwiz_reset_tx_datapath_in(0), I1 => rst_in_out_reg_0, O => gtwiz_reset_tx_any ); rst_in_meta_reg: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => '0', PRE => gtwiz_reset_tx_any, Q => rst_in_meta ); rst_in_out_reg: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => rst_in_sync3, PRE => gtwiz_reset_tx_any, Q => \^gtwiz_reset_tx_any_sync\ ); rst_in_sync1_reg: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => rst_in_meta, PRE => gtwiz_reset_tx_any, Q => rst_in_sync1 ); rst_in_sync2_reg: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => rst_in_sync1, PRE => gtwiz_reset_tx_any, Q => rst_in_sync2 ); rst_in_sync3_reg: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => rst_in_sync2, PRE => gtwiz_reset_tx_any, Q => rst_in_sync3 ); txuserrdy_out_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"DD55DD5588008C00" ) port map ( I0 => txuserrdy_out_i_2_n_0, I1 => txuserrdy_out_reg, I2 => Q(0), I3 => gtwiz_reset_userclk_tx_active_sync, I4 => \^gtwiz_reset_tx_any_sync\, I5 => \gen_gtwizard_gthe3.txuserrdy_int\, O => \FSM_sequential_sm_reset_tx_reg[0]\ ); txuserrdy_out_i_2: unisim.vcomponents.LUT4 generic map( INIT => X"0110" ) port map ( I0 => Q(2), I1 => \^gtwiz_reset_tx_any_sync\, I2 => Q(1), I3 => Q(0), O => txuserrdy_out_i_2_n_0 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_synchronizer_18 is port ( in0 : out STD_LOGIC; drpclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_reset_tx_datapath_in : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_synchronizer_18 : entity is "gtwizard_ultrascale_v1_7_9_reset_synchronizer"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_synchronizer_18; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_synchronizer_18 is signal rst_in_meta : STD_LOGIC; attribute async_reg : string; attribute async_reg of rst_in_meta : signal is "true"; signal rst_in_sync1 : STD_LOGIC; attribute async_reg of rst_in_sync1 : signal is "true"; signal rst_in_sync2 : STD_LOGIC; attribute async_reg of rst_in_sync2 : signal is "true"; signal rst_in_sync3 : STD_LOGIC; attribute async_reg of rst_in_sync3 : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of rst_in_meta_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of rst_in_meta_reg : label is "yes"; attribute ASYNC_REG_boolean of rst_in_sync1_reg : label is std.standard.true; attribute KEEP of rst_in_sync1_reg : label is "yes"; attribute ASYNC_REG_boolean of rst_in_sync2_reg : label is std.standard.true; attribute KEEP of rst_in_sync2_reg : label is "yes"; attribute ASYNC_REG_boolean of rst_in_sync3_reg : label is std.standard.true; attribute KEEP of rst_in_sync3_reg : label is "yes"; begin rst_in_meta_reg: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => '0', PRE => gtwiz_reset_tx_datapath_in(0), Q => rst_in_meta ); rst_in_out_reg: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => rst_in_sync3, PRE => gtwiz_reset_tx_datapath_in(0), Q => in0 ); rst_in_sync1_reg: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => rst_in_meta, PRE => gtwiz_reset_tx_datapath_in(0), Q => rst_in_sync1 ); rst_in_sync2_reg: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => rst_in_sync1, PRE => gtwiz_reset_tx_datapath_in(0), Q => rst_in_sync2 ); rst_in_sync3_reg: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => rst_in_sync2, PRE => gtwiz_reset_tx_datapath_in(0), Q => rst_in_sync3 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_synchronizer_19 is port ( in0 : out STD_LOGIC; drpclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rst_in_meta_reg_0 : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_synchronizer_19 : entity is "gtwizard_ultrascale_v1_7_9_reset_synchronizer"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_synchronizer_19; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_synchronizer_19 is signal rst_in_meta : STD_LOGIC; attribute async_reg : string; attribute async_reg of rst_in_meta : signal is "true"; signal rst_in_sync1 : STD_LOGIC; attribute async_reg of rst_in_sync1 : signal is "true"; signal rst_in_sync2 : STD_LOGIC; attribute async_reg of rst_in_sync2 : signal is "true"; signal rst_in_sync3 : STD_LOGIC; attribute async_reg of rst_in_sync3 : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of rst_in_meta_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of rst_in_meta_reg : label is "yes"; attribute ASYNC_REG_boolean of rst_in_sync1_reg : label is std.standard.true; attribute KEEP of rst_in_sync1_reg : label is "yes"; attribute ASYNC_REG_boolean of rst_in_sync2_reg : label is std.standard.true; attribute KEEP of rst_in_sync2_reg : label is "yes"; attribute ASYNC_REG_boolean of rst_in_sync3_reg : label is std.standard.true; attribute KEEP of rst_in_sync3_reg : label is "yes"; begin rst_in_meta_reg: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => '0', PRE => rst_in_meta_reg_0, Q => rst_in_meta ); rst_in_out_reg: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => rst_in_sync3, PRE => rst_in_meta_reg_0, Q => in0 ); rst_in_sync1_reg: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => rst_in_meta, PRE => rst_in_meta_reg_0, Q => rst_in_sync1 ); rst_in_sync2_reg: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => rst_in_sync1, PRE => rst_in_meta_reg_0, Q => rst_in_sync2 ); rst_in_sync3_reg: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => rst_in_sync2, PRE => rst_in_meta_reg_0, Q => rst_in_sync3 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_synchronizer_21 is port ( \gen_gtwizard_gthe3.txprogdivreset_int\ : out STD_LOGIC; drpclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rst_in0 : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_synchronizer_21 : entity is "gtwizard_ultrascale_v1_7_9_reset_synchronizer"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_synchronizer_21; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_synchronizer_21 is signal rst_in_meta : STD_LOGIC; attribute async_reg : string; attribute async_reg of rst_in_meta : signal is "true"; signal rst_in_sync1 : STD_LOGIC; attribute async_reg of rst_in_sync1 : signal is "true"; signal rst_in_sync2 : STD_LOGIC; attribute async_reg of rst_in_sync2 : signal is "true"; signal rst_in_sync3 : STD_LOGIC; attribute async_reg of rst_in_sync3 : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of rst_in_meta_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of rst_in_meta_reg : label is "yes"; attribute ASYNC_REG_boolean of rst_in_sync1_reg : label is std.standard.true; attribute KEEP of rst_in_sync1_reg : label is "yes"; attribute ASYNC_REG_boolean of rst_in_sync2_reg : label is std.standard.true; attribute KEEP of rst_in_sync2_reg : label is "yes"; attribute ASYNC_REG_boolean of rst_in_sync3_reg : label is std.standard.true; attribute KEEP of rst_in_sync3_reg : label is "yes"; begin rst_in_meta_reg: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => '0', PRE => rst_in0, Q => rst_in_meta ); rst_in_out_reg: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => rst_in_sync3, PRE => rst_in0, Q => \gen_gtwizard_gthe3.txprogdivreset_int\ ); rst_in_sync1_reg: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => rst_in_meta, PRE => rst_in0, Q => rst_in_sync1 ); rst_in_sync2_reg: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => rst_in_sync1, PRE => rst_in0, Q => rst_in_sync2 ); rst_in_sync3_reg: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => rst_in_sync2, PRE => rst_in0, Q => rst_in_sync3 ); end STRUCTURE; `protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2020.2" `protect key_keyowner="Cadence Design Systems.", key_keyname="cds_rsa_key", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=64) `protect key_block WrLPAmevOeee/HiaIGgPKffTsGjPw79Mvhb1LvIE3IQs20r9+LQOoFGpfUylEN1UW2O2frWdS04S 72SDyqvJ5A== `protect key_keyowner="Synopsys", key_keyname="SNPS-VCS-RSA-2", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=128) `protect key_block C57Uh05FvDEJaXQ4H8lC5UbDO/jg7m+45NOtD4cM+eEYb3jcEPXS/mMv8e0ZOAe/mg7S5VXmkWr7 VEk0dR5AU4kxRj4XjFKlvVLZkhNdXiS3LQk/EziN2GSKJjjDKBkNHEfhYIGF1ZkOpC43O4yuYrxk CIWTpVXywZi8wCaExe8= `protect key_keyowner="Aldec", key_keyname="ALDEC15_001", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block lnTbrZfs2R120YpSyobtyskobEgxZSAlXnUQXw1gJpszgY/hqhzTy3v0ru7GipkY6qPoEcZwNnVX iD7GpCBRhqKix8pqMugQ1kvNhkn1r2YRhmA6XHA0ry90LNrf+n9uqlf476IBJTLTd3uu4ZngV06I QvBbiq8tjaP25el1krCHHl5rfNirhuwiDDOMI2E116k0hSU8spCYQ0rZ4zCPJqOKT+fAtz1I+L2I 7khRnsRzR+YQ1RpBojQPxfqkEiv3A1XZQAUu2jSrW9PWm/3IpjLtJkZmcI7pciYLWv6MsTfFOhxV 6plNRVK33O7OxS/zjPhtulkG1IT36qOdQJ/Taw== `protect key_keyowner="ATRENTA", key_keyname="ATR-SG-2015-RSA-3", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block NYPBrFjA8rEwnRj94/W5n/OoJJ3VW9KspqmB8LGhkba5zTpRXGx5cP5VSAONdwboNqGe1cRhXJpS mEHlKqR0glqNIxnLFETHEfkwnm/8dMDrYX6GKlEZVWbhg4uvlJIq7o63AhclqIqjyA+EUIWFI9av c/Cg2WZkvMEk5Voduuli2eqGDoNjtmDUO4UdgeH75LdFY+E+U4xGGx2EjuMxwi6MtgMAzDD+P/gb 2nE3Cf73IZGJnwsh0ov4Y7OeTZ3lhbpUZqjEbOmWRvr+qHsDr7W/qKnJlzCwft/TK1nwPSkQvDoO Sh4iuY6J4CC2wm95ser/gBAkQRbDLCyN6r+p6Q== `protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VELOCE-RSA", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=128) `protect key_block l8u9KWR7K0iPE4cKBtlWMJgIHngboNXFVNkkmZ6xHn0dciEOTcoZJ47OzbolWOOFGMusDRe3wPtf ExmCTLetP1a5jaZMnwKNMmVJqq0v1MCXmQo7CRSSvmjqubldjetWzfvokwLk6MZBAh7O+uM2lRVg 2JUh5JSpOyhotZWrrds= `protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VERIF-SIM-RSA-2", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block sS8d+5TDoy1zTTZMk5jun5fAGkESRFp8QMV8vg1sxwTYGe/MJptNpwEltS6HAqGJ0yAsHgTGiwbT +PlF6ZE7GdA3glLDui13HfwGjVy0dNgtbTeWYb0FHGMjNDSJfT/IEaYDdKr1JpgrZJPIOQ7HrQF6 YMldqxFOawfLh/OhhNaa5tKLjc6+CsSrjpDc2xu/XL01G1T40M44u9ezedVs8kEEFbhhJoSZJr+R Ylnota7Y4vr97XPxV540BG16z5uWCTfxzmtqjY0jRsdmMdWnhPVEemtBUdyBgpquhyWigLjIdd+m 9FytOvuiYqx/QxlsxkfK+SGt5NTbSf8tnbpcTg== `protect key_keyowner="Real Intent", key_keyname="RI-RSA-KEY-1", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block jNC3g1ETgClEMyVRhJ9X1s0X3KSfCdTvdZ0hojJSjoINg4/IQZvPSTnm6KvDNN/9PD4ErCjmDDOV 7sMbtgU6WCbq/U9qhyKK/PWjXyTgOd32u9xnMP6sNlms5y7haCan/c0J3oVpTutiV6FVgEClXJfB n6wb0JyxR6eXI1RBZNNu4xSis1Eylp63Pg2jds0dA3HV1PfmkSmZ2llTUpuUh9dt5hBDsgevFCqq lEiJByppRy8Qv3L8bbNSl4LQSQfiGho07tKxnCrEOqJG7yd5jckcWNgwK4ONAZrBPYPjgPr/6etW 42E/gtZfx84l0bOSgB+lTAvbVJ/HXcEJ+ULNJQ== `protect key_keyowner="Xilinx", key_keyname="xilinxt_2020_08", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block pTLqFXpPpE2wsvVFAPd6keg/FFvC68hyF9vLqKPMM2rj+6kUgPBCKgz90mreQi2fbuua+uvx6l++ PnxknxaYB9TXxHfRJsyoZX/lDaUDvlgNy3lgZ10JP/sGNlu8DMEiANoLx2Ohda9e4aq9Dcpr3IWp CBAzAaUYSGBBZlIxhN0o1NGemu0bHisB4FMqqN144xTFb3G/ofll70V6WbXVqq4JSE85mg9m8Sny Mylfwhg7XM4vQBhWx1WmUxD19oquKtZeWYm8xJ/0FtlO/dZW/v/IrTsBHO+g31Tuc4Yeq02xEa1c lAadhPvCyPFXNR39xVwlTKf8TjWKchWjriUEHA== `protect key_keyowner="Metrics Technologies Inc.", key_keyname="DSim", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block Mz18GBawyyJpgag5k/ejGuWP7010DXxbJmmL/KB398ON6rHGp/E040EN7rcmiOjYamjthKi+JJ2H Tsnh2qF0B/TBwgXWQfN04JV3tPSOr334V4HruGr6OWUGQAHJKJsH0QNDci9vwkafL+ZLz1+0JhRU Gw+LKI/lB6iQ5sxRT75gHKPtr4swUQdSkdcS9UFHulKsKMJPsSMMQnlVkHPnlvM3c5gHCbWM1V/+ GXVuzNWNhwqGZz8iUOKWTw2IVwb2FoqM8OcImKR2VhTloz8FFMN3uYbLd6PqzMrb/IOKBNzLq6ZA HllfEYb6sxyvg7DpPdUkiMIe4F4KLLEgaFkhGw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 114816) `protect data_block 0NsC83qI+b7RvwS3lk/Bv8IAisH059ivk/CFDkAdnTQlJAEc7e5qmNbZk2ITuuCeJY32GmJlN+I9 qVPC0coXt8fCt6FuBx0t9fSpNVaO9VSkhC5s4mQscFRSydhM6Udu/OWptVP3dMDFrJ1iBgPuW2ue V3ft8wkC62Z9ygyxWkKwQZDs2EfLHuXuAslfdVXeWBncQJDSlwCCYeEizfM5g/6gli9yDKVMmrN1 eMp6jCuvORQoW+u0ez3C4+lWSzf71bhpuSI0B9rgOW0cTuqUJhkBGMVW+L757Wpg9ufjtgwZ0A8+ eNkQOfxVEs0oiTPCkJctfuwIc970BmwsN9uCILus9b+5feLsRbRIVNdZqZbmbgDQb5RRh2MZqqax P+LZB8MFZkVp2wk+yaeBBCgkRxDaQM7c+LKnqTQ/6C2QOU8xPU/kATNeb2z40hT0jtlz/2Sv1YSK SzjVs+DfRtswfqvRWvpVbSbkZJCUr1peKY1qDQoZwcUYAZCCnxho+gVYowR94GE0ii/T9pZs5s9u ggckDlFET/dkDLMdrXTIIGeTrQV76cUBopKFngfO1descc4fgZgtUW39zGthzoyBoFjnW4unv6jO EKa1QVVenXKwHith98C7BJh4RwxpcjIf19limoQhp9y7RsD8hrBJ7o6EkgLWXYgV7mTAzvklaNmK TY9Fq/0IS1bAzk1Fs/ReWQw1rCWgJEBZ/5T+hqEOaQW4LummOhWEthEfQ1R9kywpmfHwR++yCG5H UxKuaiz9/tVz+iJsfjZxHdSz32ggjrirWPzcqwq2zRbkLjh9CGeHmqgjYTiEbPcgebrHCPuTvJI+ 9ycrmh8pbkAVEeOm5G4MbvrEO23f2J7gKjqJ2nEHJZxHy4CpEoGE4isSoezpCrnyO2IMWopJqmzg uKqcWzUYgor45oPZBsSMzyvblJ7Qobz/U+mlj6CspBRwFP8Y3sW0RxWScNrzk8wU0xLWe9iJUee+ iI132EqxmqtxNr3KAuRoNCuwtW+uwboskqndp5YlLbbwTs+KYePQdLk5JqJV33u9pgDcgj8zFmj8 DzuGzy4aYAf130TIw6Kh9h7EAdyC8Ixn0Qsk2eoCg3VUeNrsDp2An8yZYO1E1KdV51nhvWLvR9zE htakbIhgDHK0HaWQUb9XXloZCdoiaeSugxv63IASUjNOOMFxQGIcr91Qk/sygQy/kC5IiBkOwXX4 SnuqHCRdVOYiRY2e5AgsUaXr3E1FrEzHnbPU/xUJIviHHKoTrIE/w1ZzpPrzpD61vpeX7MlM4nVH CH9mjplTg3OkXclDnD41Min3mo3NoJrOo2FpZZZvkJeQP8ENDsroHVyqqmaGu2zowLuq2FmoV/DZ dkLWZdcDzpaGsuypaCs7Rd8UKAeEoxfJheOMnZwJbkgxGUrVAO8+C6TlwiZXAtJKt4IA8QTm/S7b LvP+EU59KO1l3Pw6HgqlSp4LZM0c8EXPlOyAbMsdkxCYExF3/v0HUo9WTE3C3GLGCcqFwI8D4i0s r1caqOidH7jhaRuwD/eFid/Jhi8WKXRLTHDscOBjl034ExO+/KFDDAMWmOt0DPt8IdpphR4xwwjo FGRNZDPafqS/ZEQhQudNiwuSgsN2eIHo1m9kr3ocdm/3kj/JxGqz7UM9fJhdhw/P9iszQcwxk3e3 UiXVnN9rfJvOxFEck79OgMLKJpM4KgZbgttbhZrqiuADbw7wUfp5FMOfqdo9T48Pex0VQwsNAjy/ IebHmOdrbhL0kVgkK0Cw/4HtXVu2i3DPKhNw8QugvqYKyMjSnJ7tWxOpMxHRe7L85n5vLTgOhKf9 HdCtOA6rEBg5OssufvE2jKSVoeFycG7y9w4+fWq6ge8qLtsPQBP9m7GS3eyrHqerhNhjm6BbniNt QNuKMFHY9bJgYpEg8eTjAUvvtZ4zlkUwYI1aYZFxnqkorOIJbQlXjmfLQN8g3XmTEcb0LSh2U/Ff uOD9b8Bal9JcinmEw8SI7OX+wDfNKhgTaOAM3W+V1Um250OyLtNs6Oe/V4d0xhObIz0b+eVZZ7TB IKY98b+Qy8ZNX/hTfp01NM8OCDyLidq5132wf0usNiycposGvKc8HmkHytCoBaxh9FbBdwzyrgxn yC14V00frUCiq5AeZqdYUMSKeIZUD8UvPDW4tMzYCyPO1iOB6gHwHlXhR4pJ1BMHpUhqQp0mnXkf w01y/8WWsPj4f5roTF1l2aQsyGXXfGi/qfRVARmDWLd9HUzv2RlgEpYryRiVejnGWrOctFGIAo3I 8Ty4J+l7xroBUOBbTtVdLZ3KHs1rpI1IvK8qukRwpeapArI8tI8FKxmwqHMHdsV0x535hx4EopDT dZCKHq1cl4AosSzdjhDy6rGBoSgLll0X+klLjRaB4SlLj23z+QcoLVJ5FSEqAf1xIuZj1HO2skTT qG0iWSDnk86Po3ZzJcgJL2AObDUg2/15mf2s4/O+8WXVpAmJ4b3dazAtlqKljKEg455pRPxB+FpP K6ZLDNEbRT/vvPqEc8BmBCiWYF3yvHWNpDNSZzAWOhvrzQXesM7ObPVx5uGxYd3rqNonZIRQBT5Q FFDa2tn7A2JLCUMbVarVGekIVBhU/tEj+qKU4+05Ayi1COF+97vpOKe0JbJLB3dQ6WABQlDFV2Ae QW0vN3oRTj1Q8kWoIgZJ+bk/0dcfdzaxmHQByLdLj7wHLVY2oYv+ckBeW+WLxFYZ4R2KEw1hD8tD t1dtwzy1pxF1pNPPG3PDO5kHxx2xUzPqEw68LEMCBRTMP0u03DkxHioDRPmDuyygQvE9AmGNkCOA kngChGx5NT2EUFWXK4AZgujxzba2nCooq3Blc6TemkQtrfLb1yjPDHkEE9gulCQXqafXSG5myi/j +s1Ar/DswYKxm6BHgTZ8KMq9KdY1xgTd1iRch9455CCNq01ML1aYKK7D6OFHgJyUGoELNGxvF/93 9ssDk6QRMgAeacNFFzgwK3ZKJ6KKi2cXSpZtMU4WbqjPQhJSPNaXLTiT7a8vcH1LcrCCso0sKMFe Iw6dO3rKbuXFRqGyfVda5glgUumT7BIuGtlh9ZeaVJ33wEHyX69tQ9eyeCUfxuWT19+rvR+3Ezy/ yD2AXXKjNvk4dX5zYnmiGZOrwj1yCNO+LsHEC93X5tHd3j2iPa1XryewEKkNK7f2urKw/O8wEDcm kzFJWWMk8KmIxTuZFAIU2EJ+ZKZ6f6mzg6RUxrbWoQ8peGYh5CYt9N/G6KPxiJsc+rINy3xpESlO JYvgm1Ek4qbGiCD8FJIOTKCKwf1ia2tupwTA6uDzTgMzSL8GuAJUz3fe0SnZK2n8yy62pLWFWYfh 2bQc8AanmcCgEfkufsUoPDuP2QebE+Ig05TB7mb9U7oWIzPSxobQe66DNEzp1W4towroFtazpKYt axwL+iZkjJOS8P84lUrhvujH8/A7IQdcVn0fZp6zWT2Ng7fYrZzWK+txYQsDystLNEJmTExF9VZh +UmBnGZhmz3hfeDdPpkVuPkShv2wq8cH0rl61HHKBvVio8AOpW1Kappbg21fS5Zo9f0C2qM+vjeM zHHeq0qiuXN/uev0AAuIbLy1m3UXgV7nQpU01SfQ0YMz+oAvXsAJAsH8a2kfdxkWXPeIgTlrbZ1D tblNWWvcW/xbbeta+Q6SKuIS5tdAx1y0kAJAvInHVmYPX0K65F+Kr3DbTDH4iW61gQDEzvblUdEE DZR2yVvg/E68glM35gNlktoMsKd7U4qfJ7HW04fAhV7DrZjBQzuto282NAQ7xLQsnBbIBRyzhdef HRRUus1j0HOd7Z739fYuFho9JnfSV62pe/vD6AIdWqmPtj9xbcLRXXBDvp2Doi7zYwAyseM6EQv2 O/47XWoUJ5za2NvZ3APUzNufOzH+/klxvGHswNyztOYszR5kTa9Qv0tQVXwX7Cfq0h1k1mttZ4C9 k4rRmQCkD69PDDyXWbsS2Ozlkouw5SccdlBeDZZKmpTWl2NKzYNcDJOWV0FmEbn4qYRghAeYSF56 tHVHKj8+9oB5DrquALjMiTPm/nwLnBMrWk4rpkm+YTrru5ebBAEOWnMcnu0PPSxvVftYujeVZ4vY b53vtg2NCTaLTo96xOw+2ZZO+bSZe+tK3U55wupCJCE8gDZuQklNvkClu5hKOOzhXGPVSNE/V1PU OogY5NpbCXxcbUM4KOC7eWnDvrvbm63MongqywIeL3KbD/KvhlJD1IkBuaVZhgILxjY8dvjdqvlC QwFjgJJ0iSJPMIhHyUeUCirHpMz3On6x/DWkSmoXoCgvxr3FZOggr+PqDH+weyC/KqScfH2GTwyq vWAKcgRahN/AOyJ/LLxunScv+iHaaoIZHGMDqPAiYj0TxbJatkKLMmR2cmuVNbn7fcmhcJrUMv90 fhhSYtcVUsNnU6zm61gmmR5Hj4NdNlKYybrJglw0AVwgr2ZA8kKKo5vXtag1AQFHs3tld+iBidjh p25GYQRAd0MP5vSFVwTt+d6Ej54bYEJwCwu4n0l1MO8woyg5OL4exQOOHnwMXstkp1WBUgnFUZCB BR2PdBUu9QJmNLZoyY2np3KUTyY0cg761HcViZnK3fhkHRmN1Hf+f0FoC7d0IlafV1XMUvDs1pAm lp2H6DTSYS7NZHbA7nOT+yH6Nl1FjYAM3WEzA3TC4lyWedWm2LAzWZ5u1ArFRGjZS+leBxQUjBWu S55L+i84j4Wr8C/CY3rRdVrKjYMpxhTMjmqKuBAKtRcn86k3BhA0RkADbRHp4XIW2hH6/a2aW8Wj gIqyOlSz3Ryi5XkVSyfC///QSQ0rv0pnjRVsnEJjUZ/sfkfGEbVreUlETEzPOnsSD13BAuFgW0w7 75o3PZMYkEAnODvw2w2UDz0KXSM+KASPpG2rNUizyRUXNmZi1T0C+dugcuOtewZRfwn53ZmDOa2P 89+h8lNGqPI/BbPSpfKlRx9JEE7sOyV33vSqqISKGTCyhcxSx5tgahV7awm9RVSPS0OxNt/2kBOs mYN80gTUtLAnYZ2+ftW7StaBklHco4VCnHVx5SWjdBKicu94AfP9qfe2v1EkDG47bfipALSheGgW agJWYfrHNhLEiU9YvAQu1RV1e4U9l7wW3s47tLquRe8Og4B54K1kF5UEMk05AKkw904lDaLFu7jy CgL7IBKKRLpzDAUIRovjaVbAjv1ScnTuqqPUgROLrQ8Lj2XZpvYVstD82tVWllyt/HzbCoOjh32i 45XhuFjUT5BBKF99rfA437W2Wf8pWRdrzg8Rv76rXH6IP/YL+rhXX58ep9k26mYvnFPTf8NK9XP6 iUuKtHBdFa0/AlYUmQBcJTJIRdnnyEba4lXn8xURJk8di91OwdrUJ3b1Vo3T/zAxVSUltr76W1iS aUcFXqzTCxqva6+ZAEFDpSBvYeNzsiNGJRrS1XYKlhLG+6cwByi/RYZ6UUNo6DBgTrfEJIF3FpGv 79+onjwGUNY//zTALeUrAy5/oJk2poqVJNXpiDKgRnCKkmS3A5mnAaQXwxxfZepl/DQkNzMJ9MAz a19pMgy0tWgNQyi4bK9ode0g2iBnF2alC6MmTOPHzjDJ2AlsOUQnBv45T+rwxsIu/E4e971GWnEt HsF4iU9KqFvJnwqPyZj05hQjYtpwGrFHho2f43FZZigOsq7Ro9o8oXvp/cLYHNP8MCBr07ImE5Vv +u+styLXgbR/202ldjdfZkNgHSmSS4yX3D2K9AMEHFJ8UWgd4pnnrx6IhNcR9qo/c0LhVIVqqogK OSHIhYChYp0bgH/MpYIZt43oJGr23n8oZtY0QRCoXv9Cp8TlMKZ+ke12R5EMVKlavHsg8rbluqn+ /4s54EIb6O9gurMIBUzBgRn8X7de1lJmlEzTlJ30vfVH4zgYbwoI31DUeYO9To2opk//Y9+pjjWT Ewu5JbffnWffeIZitmoAqzB+w0u85+C7LpLGDAMxYHpYymnt0W7ocHZ/maVVxk0SxGU81QIQ+gdF ouRlCdmpgYLt29I0aVVFWKUFATUX85zCpTWFej7V6zI2yJaEPJX2Wivlw386bt3sT/uN1cN9hlkq ibOHZzHjjwPQKvgvfLwo7gtMEME6JG3xlbMVbTT2ENN07MR+Xgmkj0DzriVJOeA4gNaqluy/ITkQ gTnV+VOS3MweTPjNdr28iuMDl68koE7hwJJe4Cfci8yR8z/OVYfsHQ5cT/zTaX09sQfBfuYIWW3x tKotFNkh58OaM8frFOA1Ki0v+Zczp+/h93FHZNqMMeL9eHUz2VfDP5cY/LEIPOI8jIkjBUCrFWRH v+tsuglPlOsDDlZwFdLLHemudlYcWlCT2YOH/H5zGQSJ+N/Ni9h6CSU+3o80E8HS9K4ZK+S3LY9o t6mECdqsGNufFo+rX/rxu41NIt7NyC/0LqAekP+KQU9O8yZ69B5khPFk01DzQWtbgodfMdIm9NVf L2RKBoDqtUAomoDMdPJdPrrgq6QwPCKOMQ80i3UdXpkJ3Xmbz2b0EvN10vlR2Cz6V4oNlq3IPLSs 0qVjcxkChR0RgFqngyDeoIWVhcDE0OAMgv3hNGo1/2RL5WbVkf8ln/7UbwevNuIBx7iX7qvh+TuB AsFMjTHMyS4qEh50IikHmre3pboqOFn79XpMYkTJlcSqGmHWQAb04L0J4kq/k3P682RnMjtGLYY2 F5lUpwTliyirxRDcRZQbYTQcbh9gkeFr7O1E+X2RxdznFxsscmUVps+PJF7qmFc0UcvIyAJjbZvF 7UlrUKrftT9I4jUHE1sXfvPW+NpQ4R65CyIKIw6QL0w+cloNGV9PHmlRVKHJPWyvIGCvun5cIW54 ygq7299phSF0ITatXrWA0vGqg0ZDCuVBppio77K+8azkzf6IphWxdoji7WYsO+aNxCPL4u/OaNBR OFr80NIOQ80RWrY0ZNI9ENDhpE9xuWwhlGWO3DWujX4KChxHFwd0++7AL5TDEGN/tEv45hNfPGjt t2lEKNdjNGScC5wNEn9YYF/Qc4j+XrCXU1Hb6rK0Dyo5G9ZYSflocBOjXXfakoEXtm8HG+w7eeXb 8h91K+Wy6kuAV7gNfEh7mdxj/3HGZslPQS6j6GPs3UhsVXGijAXpwD3QMrWDpRsPOvTWKVYb8NZW qxMfaQHFxvb8AUqiIfxT9iba3iORIKKchTAlP42xNDo93e4kbMkvWUFn/ggWgweubbxhFW3/JESr 5h2eSF3VtAAfZn6CqP5OGlargVqqa6k90bUZavNMCoWy/TL2YUEKlOmP2T03v5GHL5rxj3EgbaYI 69NqkBHX4CYHnsfLqmLmHMLndrcsEoHYpqFVlqyQHiz+eGaYgs98796VI7eHuJUPwye3JghzbIP/ f4SxVGYtBKy818vwTMJdcXoJb2BtPBPTn/TiHz5HPlbMpF5D4pSNiR/nZ6jT+z6zA4k9s+C9OQA7 S/Vqr5ebhpmNn+maJBxJ7wSBKQFe92XxBsWk5JwAndG096EzQV3ROTftjTAlqWXY5kynz7kG4g5L wnol7PJNHIbBeNV6cL9kMjC3n+TIU4CgVvSGIzBS9HrejZ+eW77PkZnSPz/MYLVgd09iQn4VAude 4nW7LQWkyfdZGgE1GFAc73xX05Z661PXnrxc/zjRHoaK14c41PjiGu0CvLOtXKw+qzeWWCon12fE W3H/xD0raOWf8TnGcTpnOyyVM07yF2prWmGM7gzr2eFLQ9hgQ/vIylifvtO4v40dckZpL0L66d6p +OLpfdD/BdHadtUR+czdy/ZnylN4N2T/PcgUPB8VeHhKFnP8ni+ZB69q34typ7z0oHHd8pch/fqE DH+tTJmssMvS/Nr7ov8NL30xTNxRYHT5lMDrqZPA50m6by+32wTqkvLeijEwxPQrshA6e8XRNInv ws3olLbGJzQ6n+DoJ1EgS4kOAuJaO6IvlA5yidbCJnjbfnNidxQ1nMnMhwExQ3WJ/5XwfBrVnSXF XJ3e/bRW4p3+BYDbubbd8vZE+7u5XSoa5Cyv7G2uEsGuKl/4Httlzx70ieSVKjA+RB4DtqJ/gK+z KM12P9rJ8L1Hf1fP1nwF3HBoRwMgtWYPf9Y+ywSUMg+lzzgwv0HmybtAmciTPY8rZZMxJaVtTG/y pXlB95YMS3J+wArt0eBO02cxfJgspkU2MeX4nEPgjKI0Bjw8BCf6bzytOFdhIeRo+ri11W1P0J+h Aqm4mDsef6bsZtUGRVO68gmClhTB5vgEWwYtq4co0ssQE6eEJX/BiNEo/+v8Ua31Lr8CvQbXWJzD KDKPSxxQLyjGxaXBadnjHYAhBae2I+pB/2OftmCM5U1YZgQacbwpbj4W1rN4Kuws9Rna/1cSWabl LXVikvlcgItTwz4MaEDeDwhmZSjjK6v2XAGsAEPgVZ8FwcUG0ztNE/D7ULonHH5Bwkihn35CFdFU /R0cPgG3XGLuH1PN5xqsWEjF1mtKqPRbbuPjjBh+QFe/38W85FwlN3HyiHiufiyN+jSQuSyShvAr MpbM7RkQoh4FUUfwVTCUBnUeAQuxIpFSuXYtGSL0zIXNHGCaLfdKJSgahuATEi2SL+jwwqnrUCpp RJ4FWA+XwwK/RW90m6lzQHlbZaa6129Q1pl0+B5S/dFeCxbaP+k01IYRvKvLOF/2OaDIK/H/jzkR Dnu86qfDF7kfaO84PCOvepY5m1jaW/rWJrIXlM1mL4RPd7PkWnQzJ768t2s9dtA113d72WPfobS8 gQrHpXPG5PIU8Ax/zXtqdCBNXihzU4LcpYP1efAKqolMoRpFvBGLT2CT2OJq5BYZz7QGBWygedBN YUDoRLl9qqtWuIVXgOeSfszp1Rc519yKpKnAIA+mrzlWDqWsV3sOT5CCImmKtqI8yK2r4phjwFR6 3SFUFA9cd27njvNKH0CzIg6z7HOQzqt87OMExs8cFwXfvAtlMFAS499CkpxuFm5eMZqFReklm3u4 evWwdAqirqi0VA4kQki6qx2PWJodbBTnp0Q+9sc+YJb8WMCGgoNaudGF4xJY3glBP8grzYcvkeg2 YjrzcwKoFWcCHYo5k9dCLMVenxRwr7SJ4jJoLHzH2/UpefiNqhVr5UVbSZgJYJIZcqWEp9lzL+Yi pNF5so4Opfh03z9kCQd2i6RSgui5GfC0/aGI7dFEOR8KqjCOvPKov5KPpIn9ImnIJUVATXCILP9z U3gCYls9Srk/RLdnJt5YU5heRji6yAKY8XiSz84cp/u47MRK57Dhsq20Eb2uQ3zgvK6F8evgSHto WG7rIVEeUAwzUR+5rerjFIKNfEhHjwarT1h45aa6g0VtcKr/chpRKworCpJEXRqAx8v6DB9g14s8 nKvN9vcoy5sj//eetH8tRzUB/0AoHzuXGJihEkGdR9ZRiIEx9XbVQJ9PPwEZCoeybfoN12lr/zqp 0SjQfaLDrpuWt5cKqDcitAU2lVk1E0oKZQ6qnVYjHsrXjTNOydHgnw5La3F34eNRfEKMFrxG732M +myWDBq9nQ22oLBR3vbyUNHQCVWIa4WFUS8VCykc/WOCjRospDHSPjZyKr+J4c2kc+gNGSankstW KeexSW7xDnSnKpNaHxgx1s60BoMcRmu3Tf5x+IgMxIWkxPR9zGSdJrGcDQlwKKwnd5GzDnhBbEYm snzLhPa5Qsnuenhw5f+E0/3JeV0x6bqDYmi8garpBCaG63Gd4X/LFaIdk0Sjy6p8yqveOUaZc1A/ ogBCnCINRwtHdVb8eTTDevxBJHRKq8i6NL+zGgZm1BPAbgT7wpQvAp4n48qv/aR4q/jShFCb5QsH J8Z6XF2AMLkcd3tq+bt8CWFLSDZtnVNGzWI1h/0fwPqoGSeKiAGitjDW/Dkv980H/DFg2StiIzuy mIKkgzpNc9OM8oj5MaDKrD8XfP7yYvB53P7PdEBSEkG5Tsv2/uM7AWVIlJj6TWFd87xl8Cw6YBsJ 4x2lS6EJCc06noTn8UY+h2OREpvIHmbumSAgGh1spwqSYaJ4GN+aWoCxEAk1j5p//ptMcnV2Cq0Y CF0n6KQr+gpxCQwBigOyrboDWBydz0BEoTkcPMy0gfANp1xO49TUurRdrHvaz/nXxMmuWc9GPQTm s8uXVEE7+ZG28XUlqmOLTSOHoNGJmrYjoBxx3b1qUoQJaxP8K+5tza1ScnN9ucAED5WXfs7MSF90 sMoM45X2l/lPOCG4NuA3qgb+WNdVa2eT3U22zW2YQAcolIzN+NNSbuTflDvRX6PEEaRFfoITgitz S2rjfFW/lhX7qz8+cR6AH7VrdjoyK71GN1XxK/hr83/Q+i0GoJfEUG7cykVHJj/wj/uFfCd4uaSw LDhlFZZshLB1Px+KmG+7erWcuDbJkd4Ej1bJEyn347gbX5TEGM+A7poLxgD/XqtESdOON2ucUJyS 8CaFwgy6E71il4JyF56t5KlV8h2HADm9tU+LXLQpb8pmdKb87xphZT4hN+o/YgZZ3TeviAhBkKAk hlhcnZUcpKAGscIQS4q6FNttxcaaTXIq9WQKrw3FebOZTVn1u5GimReh8eAeQwFDYZkEytsoWgLq EMYh7JGNcWGyvHdN6/9rs9xuH0LHr9S+HwQqz31YI5tEb6/VvQ1iCGlfx71Bi/5GdujHFgqE0Qns 25ObihZBGxho3gAwFnq85DAgHeW41iFAU4E3yjZAsJKqGJ7ojJ1r4bAKfWNUu6n3y4xXGEYtsihK dd/GgLneKQDx9mKgefLN20vd0gwap9Ar3O7HmAPBbXZ+08fbJky2FmA+W6sUNIFahmDxCPVfA3qI TuUQWnxh/aOKWiA9lY/JbRHmA4k1qaAQb6hAjdO1yI/SCLn9nLABI7FEa55pS4Kkbd/81TRcM/k/ +8rjEwoYeMxPEEJr70yJMJ1UwsP+8YKTs+Nhf78wl4uUS5qDwRkXLeNuxMpX0M2S/LkqA3QCrdAa 1Znkpu5nsobrSBf1o2OLEcsXJ1ROXlcorno1KH8RhB2vkxLLUbbgfSS+I7W7uX6Qha9c1ihMR5UD aDu4XxjkfopqcW1j0eWIPSYNv7OrD4U774DnbI6ZVIfSvTHc4R6GEnALQH3VuhxQmHDNk3IjA7Ky liUKEYiB1h3Yl8Aqp32QqpWdl5KH6TPULL6ndHC5PneDkcdkRs+Jt+ehg2YILs6qfvbVgwUqAsNF HN9S48N76BGeeXKysRk99Dfs7Cq7hxfkrv6pX5ZprzSDV5u8hN6T7OXbgq9rqLZ7lOXTiu84vQK3 9NEl3bNe0dRzBJo6SnSG/VETaTcE70n8X6jIgOKyitNf+wk3/Nsiq1S9SXI4NQ71VqxzmT7rSI5J jJMAzBgkpNEjkCI+M7psNKbnrGjy6etAkl/oAq2k0SSRflloXhC6eRKIa/UN4U9N3qIbUjmeT/3q c77n7uOsZa/YudM52XEzcp9P23F4gQ/xf2/zri3NkNZWSEdNTZQkpAYfVHFgFDF2FBCZfx8VxUdu kUAXPJ6GRSHAP+apNvfhY40DyO6hy91TrWYFnuF1jW6dwmwfxxZS+nYODu8C3nJg6QGiHjW1s5cc KtHA3LoLExb2zQhI2yLEPO/SVaDlz77MD+PnSCDJZr3FGZNTMXFRboAqMjDRZ/83Q/teib2qBd0Y PTQSGIP8wfy8/JTNgbcwQCTXbW23JaMyST3KtBMi2pnGYWa/NFNnrUQpavO5kwzYoRWUHxfTgn4z d/n5eiFR+ATFkN+L0Dx3ST8dspKZ0VUs6bll9RATcsOmYkSdPl3rNgaWcgUIYazNYC3E8Z2Qd5Yu /xubndgw+2RJ9GIN2MZjaIk3cX2IAqctQsVr2vf288065jbbsu1mHm9ebivv/q6Iicp0J358WM0L zDUP6FHebOu55lxS63XMRPRBOoypyfTNF9yvygkwo7jBfQiLlXaIuB6C5m/1dK7Z77nLfmEwDPAE 4yLwkDPvAv3SqH10kYz4C/rLLaYXEZ/FZCvTVvbowqCy7cCqrzNouPDCzo9x+GptqiwOY9UQ3Yp7 9tzU/bpmYRNWiRSAxY+7sOFsi0ySMxidryhnz9V60ZKkPO/oTL8uSFHsteX1YcYHay+G+0mLJd2R A/6IUJMRWcPQfjBR8EEHcmtkDn+QOHczNJQJwQ4p1w4XdU260upVTIk5YTWkdyKtl1fqAVOSyNMc +h7kBHtSDOGGkigp2lqS94eLYBvlFy12Nnx+X5HEuuNgutdSH4WYTU1eLGbZZN+0SXk6I3G/b3Vp Rzso5mgc8K9TpGQvOLXw1/jflRb8lLvV4XeFFbeybVJ5HYFQGT/M1RdK5S3KXFrCU9hL60T8sVIS bKr9ZpMgQ9mpIl3ZFeR00RfvMezwEI+rh+gWhoPW4a/T9CsulT2peV2OdxYaAFEr1XdznaAPcxx7 C2X2DyO+/k6IA78rYVn1KCjFuOwMQw70mXVrYg6vvBbHujqzjFOWxtnMDkBq24/C4/WpQIak2vxI 8wbdTryxSuZL2nCkFZNW1fGSXNIeDypOvjv2K93SLFlYcdIRO/ivuFeEQLURHLWLf1U35pzqnfzW uNCr7+e38gvvcreC2dYFgsDa0kz2/TKyDsjUulnFTvaal0c1IjWZOZFL6oaG31WZcLNoomvNtNp4 i6atHOwWYPCwRnRBeDVN/UEZ6dMPrbfEACxi4QQH5YrJ6eV5DT7c8YICc+iN+jjd3ehZ/5gnb3f5 Coj4nMoXlJkumrJj/lJ1xRCzH6xgU3b97+uh5tFIqSQqOhJhgzRUOrPockw3qrgJ5O3VDU/z3iqX +rBSkWfeCKyqsLJ5ljybBAL+sxMJFgn57DroRmwuxjCaMPs2sw4ICPNUiy4OV2dztdxyD5JK0C7I MQJKOboXO4ElWRD2/fNE11Wchhc7IhmpiVMU928TgJR/IwjITM02kCUlKj96vdyuoz5GAKrqlcHK BhZp3EF/o8B4b06K/7FyLpDP9YZa2B/fB9syrU6pOoWiHHEkZbwhM7OBHdzX3WRSN7BFWcWOJPkB pMruEJN2rhVeB0AhHMJ1+PhvPAeFpP7Hdbk+wR/WyCCoKXprwcj33K7kLrTJGSzIupzljRchNGId OYUYY+S+sW6+P7p7jEFh7ZsfDEk6ORLOlXmY5wmNvKOMjHVpkTrdN5VtzVs+0stQM5wnYrf2V4o7 EUgN1tgaq3eq68PzP6D3hsPwU4gf5WfBEd2aWnpcGj9J+KDcJVAdErjQZQncXdK/IkJcVkuYntu9 Xp0g5Cbyl48FUsLmY9oJH6X8y/7lsKMqRn4Prvs4bBzYnVA4+AGwc0eHepYwBWxypx/Z5JT1pV/F La5BzddfLwo/IGUJp/bChbqqLBVdU3VcOVrHw8z69VItdg/fYfUExJNg8idz/B1gEcUwV+eT3CvV 61/eva5HMLPO3Em3yBKt92DBtfNNOIagxi50TNimwx67HaWkw5JJWlmSzsYlPZ6sgphM9CzKEcJr qIZdaJtYQ/ify9BIO4yhWP8jWny0FxiceOd7b290MYXXH/RwJchDyiEcAPzN93OM0oomsd0BPfTf trQlOGQm7okGH3MdRMvHsI/dZWSpDLxXWiXxyq+K/Yl43Vim1ws2hDJScVfAIPRGq2+zZA3xph3n Xq5ytlvHBahyGHCtUJFufhgZOykF/x8wfTCB+QqQSQFCe/doRRc7S7/VXAVFLEdpkIB54qyZxxt2 kSeLieUg9mxTHEgyIHRoWpw2Up/fQsj9dSgkgoOr0Yf3o5WoRey6raG8lkzQgDSGnCsU9bxUqPyC HHiDgJLbD0Dq1/jcSB+2gDVwFi9BVlNVdGshCdZcfhjSRH3Oj/eLHpVHXDO2pFvU5QIBWamB1LOV 1P2i/98vDK35Xr1OpYqASy0rDs1sRMmC0F13AGh5pPjz9ZjrMw7sY7mL9VYZ1T3Lm1bxKfZEkMX+ 19kbJy0UKG1UtECp5dFRl5JxxR6r8b/smU/TRmED+QVb2wHQ2TfVTEDCUTyk4dH1bDudhqtH2FE+ tjxO7E4QdYXikXO9p5by1rIZJU2rKc/Ko//W5i0u0INZXu2d8Tnyqx3VlnzdHmdiCtybAtkx9Eek epuKk63NMEDshdV8T3CVg255Yj42GB+m2h+g9C/PK1EoQTV7a71M5Tliqwfaa1POfSJzklzzFGdA EfP1U2GLNKs6RVTImdCl5CXFNxovhDCd7A9fpRGdzuXu0ynkXlh8hP81OaxAoGaLczzMVMUwIFC4 F/vkNHef8Qgi3EuN/+sCP54Rj0rLcn+qRQ2dvgY23vnxtWLcaGGz+iS9U9TRMUWjK7lQqnrR1OoN LmIw339ESg+BLGEpZXIuGt2sktZy9H7mNVIF5W84YV0Gl1oHPgSWWii7GkbboMgpIoBZMB04SgVC yiTD8rDHSDV7sTkkq1MSQck3Bfg2L8myY9DoF7WzV5JiectT7aNBiO65oeNkVqI52S1NF0aK137g /JtAwGMoI+Zn5PbS5F2l0qMzQFyhMH9Fb8vApthsssFEVyD6o62UwMEBvw/BuLAQ4tObNNOk3wvy dJNNhXaZmAJl5jNbvexu7IM1ZQLeLU1Szp+dtxpuFfoZFsd5CIqfOVFPJLubys0oHLRcdwy1mduQ 9rIBRwAKrpsPLcTXQfCA96DiSBt+/MMDYEhneTWc7YWpqux+5AugQYqMMbD4J/6NYaPaoxkAgvNN L24ftpMSBP9k+cv3YC9S9WrAxlTEu5cwv1pSwREwA7m4CWKRfW4rAcqk4rRlce4EhQi+7RgLVwQW 7oA342jKsp3jNeafaK+FIkTmtRtLFBUPv8EfSOMo+6X62FOnIV3N9brqLHLHe6rbgh8MF70jPhQH 8/ZsMtRcgQEFO3aQRInsdt5KufC2gdcXJWHPI6cvVuvWl/jV23100LwFRoGTEijVb6KYgLzJKhVM 1M6+eomAngXlIKPVGKwcC4g/PqQiZk2RQym4mZGxHTWzDijpXq6Sat8Ks9DFl95TV+S560BD33Xa se9qLpGNIJIRtKIQeP/ILYrvfylDbLmln6huxe0LaON68asjey27fnHr9Nu4uq1d3IyS3752ciVC uLvpMX9jEuWEx5wMX6cb2xEOWiry5bDDevxJoWMrrcrW0r78vV0jKubRvXh62bu8tKNjTTB+4pIV TkUXEqlirnBLaDzsFYtzqsWr04A8FMEIwFi1P8GLXOiMu8AITsaWUjm/ed8ptzfYayhdwZMvbdKU rORjpDNDABXCXfh88sAr3RjbPUMYzB8xRSxpYR+e0n4B8ScQ1int6G3pHO+0Bnm05ODAtAyvIIhy NAHziT2nDgr1rmarzRbkcQTlrm9wFUsZcvugIaQaz/ePOZLzajT+RuqbA9pKTchbop3hTF11pKyR TNBh/omzKRqOa/35hWwk4Yeq7+vQOJklgmrwEcJ8GscGZ7FITK+onik7HMcdai7LRRKAnBqHX/wL oUsKY8hHeZE0IAW7EEa6JZPXmgU+WoNdgxTk/L/R5X1iqXePiZ4Tl22vK/rjQb7NZD1bCAeboF4i KvSHvZ5CcfZRtOYG1zc0wOZdl1nCshJHWq8C7OUuclX3SAhU/I/XkSptYl4qxOj0nUNZRNY+JUHp ynLLn4hIw/AIa/mP2dZr9HIgelV0sMFvdcZkXdT7yVGIt8/0Cm4e+nKRUodoDKjI+w4z3z3AuSTc suK7/dLuEJxfaUawuBlRvDJ8OJO2uDxKGsRHMSsVpz8DGepf1LbHvqUXsqpzTk2/QjKNDpyF6fMx vhBMAglqV+T0ApzNVURJAVQWYQSA8o8c5bgv1ma3wxHY4Qw1LGVsPsl6bUVSCLSLOrto98BQcTUA ACtTc1k0h/utB1xCBnQT0OW78rgWdKfO1jUO1OEF+rPmAwu1lDy1Lc+pglKh4J2whuflLJbe/246 7wTnytn8E4OLIkSQX1nO0fDIObdUhitCfIFw/Sa1vavNGNcGMFhh+n6PGo+iiFoJUD9TXuw1nVve dlkgOPamkUl6SAiSXlUjPJacysEmxw6Y3HvUYM4PvcWsGHyiJqogWPzbQwNVnrRRgWjcAHwpcGmg yLwYWua4qKL0QBsJxv/b1iU9yG1bS/895Q6kA6yUvirPvUXgLWwvUobg1jSSBrgmUPsZaiA6lkbj ON3twKNmC6bhzubPsiMWY2kq3q9MOjWD8SRO40Ge92i2JZASFHclR1F0A9SRW1U38UgYpR6cnrd7 2nnKC92hPZai1VKYj885YBnBGeHzHgheRBxwTHDNiDKYdo6uXJY8HHwNfrZMo1Vdfk1x1KMqgC17 yK3iA/RIAbIRApLTnIMiTsPmwvnxg7di65d8FudGigmH9fa7OuU+h17y16orWjiWdOz3L3//4vhY kIMzsRhFETxGs5uy44k9Aa6rHSQYmo04Cr2tvce+PNw+g3iZhNfrJc2R0yMQDYBmXT0fPa3FII0E DwfG9dSzwNXA804piwXJotq8m+z4jPh/Bk5QHbaQt6enH1Y/sJccVy5YTudjv3o928ba3I+/pjYs sxc+tX/KaqfdhYnz6Z33f4ZU28BiJEgSmXc0TgfHHaYzN6ofV/iFWvcUQZclzqH/y620sIdhMsGU N4VWtRWBDI0qvXeSHKvmuxb/eW9wwHe4d+j5olaEY8hfhqi6cxNDPafaQhYVFlkt0GY0iXG2hkCM oio2dtxNHlWP1uBuFv4QZIsAu1dNdSWx3ECwysGjHlD/EIUVGWiz/Zny2UZ++Tug025B5lqLzXPV 6vgeG5qyadyHm5CuPR9xOSqkmj/6xFsECL+O2Pp6uidI4SwPyzeCTPhgmo8Armu7M/S0Crd2UQi1 fqrnZzkxfRit2OerB6bfDjBFwsdLA2IGZ0PsBaXResQE4p35dZN92Au2P8mIIFZmpF7yf6dADTiS k0kgouUbLB7HWrb6ELK0eGMJ252x2VS4HfX/V5sWa72+4UfAnSGuvLmcvI5lHQus+cjn3/oypwZG QSs6aiFbD47dGCS969J0nKsmWgD0I0a/4XWylMSCQYOhNMCIkB+jrJpXPwqcAEAYKSbCs+UWshO3 y9E7NxfO/5NRkCDXxHK5SBZz81CP0cFdthME1wXAS2/SznbcZaKMGmClicE9FqXpQPAvSPE0KEAD tu1Vo5L/WV+7i2LNClyB92ifibHdbqy1tvcKjVV4bhSO3LHn4XGYmCZxHdke55wYt4xnT4EmT0cT nu0lcS+uzq3RMtXuT/nPLKd20qTfG+8ofU4my5DTWXQbEmMR9AjkcwNx1Hz3LKi6ffjVmj8Joi5P Skb1iChQYK2y/TffsE9DH9oks01o5mbbvBq/U7EaJGJMXAE6xAe6fk9Qh9eH/nl1u8mh228oHih0 SMdEldtqcZ6mu4nUx+WE52rt/ecT7jWHhE++s9faYl4O0xME3hl811uIOvFJZGZDiE6hvGIwHGG1 QFOw1QhmHlzo1ig4+2TT+d4+TlfJFwbs8b9isTKKXKwDu+cAwE9Z8qO0OYIkflY7VpYhM3N0T5SE 67Hsh6f4wudswARsGFm6pqDWiojCMLLUyAGdSeERVD9+WyJStSED4YFNgBuevo5U4oCUXejuPTps E8xJXhVxTfah+Q4JLni1TVWyU3S7lDW4Lt+XrRO6xd+xyb5liEkXUQHzoNtPNWfBYEnhnfXou452 10NCJK7n79Cbd7fQVK25yJf9qdnG7HhXhG40UpI2Bc5hutsVewdMVxogHlnZJQkQ6whkRKly5uxK lJ1tjfC3AH+A4aQ66ysy1dr+aJC2rwTqGLZZk1A38fyLYF3cTgoJJ5yKZAAPRKzkHTaToD1vBNWb 2mYjv/+B0s4DzovWqyN6UW8fiv+v8DAD9mqRMDW83waGXrLk1p9+Pp+bHn/Fn4KqVS2FAhdrx15b J4+DnonU04uaW7Qtw7zw7SECTa74BAgLsz23GhggopNtz31r3YtsKKtq1g3m5rmMQHlMR4Tds3kB DH3MKCgNpcZJgTSma1UgJlxyKmovO0eb3IaBGuAgrVw8JMG2W+MklJxa7NRkFIqsN723QJhk3OvZ quq7iWQALrUqJA+0luL18lY0dxH3bNe+8FCFcTE/iYZLYKGxp4nfid9QdSvp6FKgFxP3Tnz6KdJl Gz7dk49sNdyj2eohOF/lAfKYjddHKtQCKI6DJshE2LgcdTL94lwLg7Lj7YOVJXWfeXG2w3X5t76F VZJVAWdyS8WKC1t5ly6T+eaqxx4uhyedwt1NAYuaRIxBImrbW5yZK8JV+jcYDLTrpzojvf/BMenC Qgbh2jv7R/TIaGx6nl0EARK197THbtDtCkGX5soh6oG68aHf2bBPy5EDRXmIqTccYxGV/1PYGvxj xFERmVAR1FqrBQA7K6Mn7KwqLocwPCk4PKZlx4EKpvoKmnbk0DVgtgETnlqNMpnaobGOTZdYfR+O /kHG4ic+Bzs8cujlMXFcS9bOa73m+xslp1GdRKK42h2mbMBxXGEkF7x9uoDo4ilJ7WtxDeMNj7sH p1x8VCUaBzaqr1J1DJYagD+1I8q7DmlsMxsgETn3qHhQt0Ba8avWtrQAy/y+/sNO9v3l3vDgAkZo +o4qXKP6aSRV0PDGsIGA7vZPPhJjebhlC7GRIe9B8BwqxIy9pSrkTe3WdXaVwNzbiVOf3Y8ccG3c MhNJWCyLsRIZXQvQ3VXwmIy8JIViAzXVV2V+Gk7zfSL3aJZTOW7y8gOxHa2EwtxvxsLmpJ4FR47v Jjgr1Sa8tGODtJ34oyndmG0C+hfoKiCF99/+TUsO21dnTUtLiK2mWppX4Flb5gnNStzZVcROh6Lg L9uk+cUI6CYTr1PdVkHV14wr9q9aawpoXRWaqfC0yEXDXnFqxa7m926+9RyUa6jmvrxLI2ER49OW Od8ZwQAKgqvopaydztZjOLVme4eqEV/9edQ1o2iRAUekMqpkcU/gufwyPmThE7igMD86HEOOfyBg l22IC+Cee9OurPDvm3IFdgURDnSbBAoYw5HKbYLbXVeFbQbwtoOzkYOcUESf4/CNt7aezUmBDt4P BjuyrrrJGilXcTPSVWXqe1SpXCXK9Is8uLhKdwyfb+6ujdyGE1ZoEw1Za+Yo/XBBbiD/uQLT0tiD CHsPSGL8TYVxa3e5RDNgB+UzikvCxgosomwvZKU8vs1Nu7dxnXuY5YFV26Rfwr/1XMAaCW/796cA qFFaSkgKtk3QAu0P4825PN9wXH6c4C4EO+uegOXLz0iEQtV/xRmVI2YuNv46Rdg1LAAVIzh13P28 mBltH4sf34B6vkj9e8K4QPAkrGQeXwdZDk4tUXVRAMi3OMXW/t0I7BhWqcKaOqSz6oBK8UoB44A9 g6jkW38WmufHrNuCU2FwZwWczcVDbpK83cZkLoEQ/0wH2tEJpj1a9/CJtDFXDpyuicvPlzh1azHO 5b3cD4CIiXo9Ya36o3q2yFQH8vnk1EfYIqmuiCVOPmxFfsPbbFLl6yZloNlm94at07Y4dmYIQqlY PEsaCmMEAv/q/Aaa/y/xDoCJUpWXERctyOqxCQAbp2quY2Y1uMASoZTIr/3QhqtMROkv6IJYX7H7 t03cKRoTCERKSIZhN3GSjqPTghlGvhgid0hfFLVmM18pT4ogk+cYHg9QViGmuePssrlwNXnvaPM+ Wgxf9PILK8v9BX33O/Fm8vyb11Z/MEa0Fn2waHNsnftfRLDK/+hTfpEd4xQ030EFV1MoxU3xfNk9 5Y8wsML1gvuyiwma95OfbgdZlfA47JZ7z47DSOl6VfmYRUQOyhwrzYAXpk1f48AEq3GtDRKser+J 4UlTjC/2AqAvg2JCN+/iLf3VI90t1nOJm9Ykatc+B6VwOEbb4i3mqhFgCChnkGdwKEyo3pV0h2XF HwkgicrqOiQllQMX2pJl3TM3OYv4OkFYe/7RJDEK/cq0ZtnwF270qO1yczfnB2y9XOA8v4OQI7fC 2fCzXq8hR9Gn1xAOoTwlUXTpfxA297aSg0YTfzZBnWwfkoqxvrpmkw0KEqRGnhZHq+wi0xThihHf tiBdMglZn2xWmSkSG87ZMyIx7gLA+YMI2EJmWwvDMCP8xosze7Ww5z13PLrsTowpSSfTyNyiIZ2N WqocCe3BrV/XosW14VGS1gXJLaPXQFPArYS+kXZB3OFrlJtPlfQUPAZqF38xRSoaScm0VODwJXms 8L5Sr2BdoUYcYprquzdfQTTTqX5yz5BS64+ARG3k5w2yhzY6mtfBog18Qv9d5ZHGqRNVHJnqxgVE tYazyXciDPVQEPGbu5ef1VWz1aao/lHXyoL3xH8oNmhJp5iwfwJhL7QUtphL39w8Xxve5MUBw2PH fFLpmizwd2t3JyYXmZdMDd2fxyAR6N5z/5Xkx4POAJzBmjAb004FT/q6OCVuwNzUP6xg1d4rP4+a 8r8HjFaCU3awS6DqWhs9NxvfQ2kybZHqTqZfiHYLqXKqmAqlhVD7uBy775u9baJ97bPxn3x/VmgG 3kdGZMSOQDJV/7nMLfe5K7mVWDoyl7CB9Rnn0Fzh/K6EvzhQ48H7rfNjyNIBYYGkbOp9oMCXnD15 qjih8phd9R1/+f2d89qIYO9sv4Bxi3LmNhyN1/vnn1qh62nhnlWUQiDEpc5YsyO+XUshL3EvmyAr aalX02qf37hP83ad4/887tTSKwsZT2L0EFwGdc+2rnZbjGsIN0tEK5vo4HrrTQMg6h4ZC+q3vkxd 2/9rhKlB1lAkMYM50FSGFexZhIAPGthruzLS6Xq9E3VD0x972kfE6FcTmYREYd2hsRY9fbziuI0B WqRDWMYPYm1adgAmb9XGd+i9t9nCHuDy6WG6aCgflXmZ2ZbvGmxDMuShn2b+qZMEFqAO7uigVH3G aS4Vhfj4TyH88T6XXFVHkUiOUmW15szGjYIJglOjKzSabE6OIhW7ktNHZQuRK/a6H3sjzs4fm4hV lqTabX0DvnUXWTQeS5vUY5wgPWRf1lS5CROPOlj8JqIIec4jPRA6c9/dLwiyFhZEKz4yHeipIW2C G09H84sZaJfLXITxSe2ymUOucHdwePvek3qwPlgIjscpJW1pVLzV1LDhXWyS7x13sd4UlubTsWf/ u45ljYURBVZzsv7L43GcDghmBrETddC7nPbATMq0ujaa6CzOKh+GuvZDYzQrIoP5L4886kk9q4Tn ONSeJdwhApK1RqbqClO9uLOnL4kwfZtatsXLP6Sd/HOw8Exbw8L3/IGLl5upcs9TmjLgsyikSdUt IseHwh0oAOnpuq+8Cn8zYpI0Fl+xUYSuVQFtIbwDocLCrxHm0Or/oV36fc7UN4vjrN3cgK87TeNh zGHcpoGsZP+PTcB/IvnvrKb5bfY7SXaDs2I+QsfkKxoDvg5yq3gpWA1nZNFsacwQXUL0x8O/5fVq 4lgzTq3shQ6PRzEJ2dihyS+Tgz4Vy9kk6JIhEDYEazGN+o2EUjd+Qq7ONdRNm9cs7f0Gm+yW8kkq Xfsp++vhuitC1CbmLoEy84yrtpSryNgJu9HyUr2fBr3vEoVRvbo4MUmTb2TLc6QWyYg6JNaTkjdI B+R7R9E/GoZPxiKz7mallQYFMy3CtiBv5siuNeI9ChC0Rkrdl81vUCToJvfOUDpxu0T2i3GH476g Vz9lxwS2GsMaEs0Km/oIjkzXCFt0ifJG0A99WngFKLZTyeSTqnmyullQrnencuS58U2rhSzC/Csm Jr7o/rNwDjzfNWxXDKBnovkvVOdkiMTiX9ML9+4ScNBCc0y7qVD0sh5sZf9dgV+bhyf92YBcFiFE qQKkLilWHVz7Fq1WTW1XYSKCK4PNCHK95wgtQEidDjgAkyHhOKpSa+hgJsLOoNjHTtccyRN35kuT lJZAnNhNy6UAnv2GCty7nDlUZ/aVYLpffh9lUl7j+AJ87VOrPsoJMNSnoV03Ouq90+UeTcJAN2sn yfS9HKze5IO2ABzCtHXtVCLqB1YkZ/dI0hMeA0CgP68tTDCVj0T858Yat07VXMQQDagb8o+ISL9Y I0f79xGKbpOegqD/2K7VSkICR+9fcLqzD2DxEXkC25Ilqo88u7Ka2Uo0MyDiwzcyecRIap6nQIL8 be42TF9nHNJsvplvtPEo+m59KSzFpu23CZq6qgtzamOakZMeE/UjoR56fKZb5+wxRPtt7VI2CsYU cGH8wpkM7KsxnkZtY3JzhiXQKDSTjLr6RDwptHkOfTiMb9j7KcLTbe3dG+0BZrOnS/PL7f9gRyqE 4DD7l9pMPwNZtIxp4+Cw76gaaixhnTRChELXWeiLcL/YikXtKJLJimQen1itbspTLKDNVU2HAy9E xzDWsS63BjThjB1eOTkoaxasoQ4ryGiojOKhs/W1W8pjgbRKOiSnnD7znH/abvvPBO2qM5uLiHQT acjWt2zb47h/uZ87nTXdkD7+REXiw6zs8iikDp818Vel6dyjh88u+f7rG17b0aGmabU6y+AhGG6+ OPcMAfcp3b9utGL7e5f6PLFqGXWOBoHuP0lrJn/RrljHbB41+rZ4JZIfpHYJIMZjC/1c+QaYgrPs Z1KS0lx1TQp0oXUHNzrcpBOWO3tOqUC4ruDOfNAoiCGpYZObIcWQT1lYVF8t4hNIyDmx2+JZIbX/ Kx6MDOz8QOajdGW48Z8jxjn4/MKrgPrr7DUcXHw0ZIKrEbw10xeJKu3mgSYCtwYajo8TJC95kvCI cMyITNmFQqzTHrp38bjxubEgGo2gH1oaTKpCLdy6QbkcTFDpgMKCb8SVQ5q3tZ3GnSxKEoTS3liM jm+KCKHoaikoJ3AaJDSlS0Tfw0N9OVW/31QlLdGwMhETPo8WLjtGTDze4tBl4Mca4U+FuPdo11I5 UTFf8DU7nUcJCW2uhHRNVUASWfKpP0p1P6tb8CUJ2IDnrTvagYJFMy4d+Fl2rSwJCRkEzAwPgQFU 06XmOIsAAQQPfddB5ZrdqZ36JHMrBLQbY/U17ctBkWZDZdBoFqbSSAcq+ODc/0SwY3ltnJdzHMXF zdWjitQxC65UqEpmvTEZjl8VNMrrEm28G0l3XG2rKxJZNAN7B9MurD5099aF3Z0A7kBBPE1e75H3 YbpL5G7IdROjmvl/HfAzhIONEuiWxQ556pSHUvW+GWidoi5ftar6WBt4SP9MM5zd2LjtIxwWQRCe jbkIz7Mef09uZnIxkks5ST5DJ9Vt0dyEA3VtqSxOf1O/CoxkRvnJYJomEEPScprtpArU+sUn/GAK haCoX2lbHlN9bnHMU+99g/GmcyOJw6SkeQpV5BCEMmySltFQ8O53QSYib2DV0x49Ovdo3TaBD+qo fAjWgZ3v6qGUjrf9d1xPkU/NjQvETAzdgmyqK4lKlJqjSkiqTIAkLkzOucY5Z0vjPPHv96B68Fiw oTGHeTsOAaWjf8vgxoXY3tltzeuYfCIvrXw3ChOPE3yiEmKrLpi+ErCvmnruNUadLWyO+Bh5ThBC x7r130wQ6OUpOjoro8pxAcIWHDo6CXfonhV/MtOMTSay/b3juX9yQg2SP7VNskz3+g9BpGx49+My tkUlcQFRSzDnVnex/dqbfgqbOLyqILfVi627O8k0xoFdbqtzDku7mpi10u9m2XRw0XzzpkbRqz7M wRCP0pWGx5hI9CmkusbKw8S6gedD8bZWWO9oyTB00FhVDDWJbmsb3DFDRyJuJ7yGeqJMKhgaHcJu IbtRZJDM7A15tPzXstx3pImQcgfdTb1L0/ulHpHXSvS7yEfVIkvvg6Zzv2zR/clisFH4uktZ4Uil Ns96GzheuOeGtfJL27rD+qgUBF8sFwAHLNyLGTboLw/gjKeIzu2t1c9oX9oSqIMsCCpoXwWebfwd /IddXd0GAKrX+YVB7KhSGzA+eLv2Tm8kTXrdEL9dQg84m7XaJVwZn4OJcwRFcPjbT7vB5QMpyPlF R4cWl24HbN4qFxhasbPiSXXmKWQVzsGg8hsA9Q4OKOl8VbwuAowuBS6zY1lTW8olCE8lLlR9JQ3l q3yeZpiSOujhakKN1bLS1vKGmtGcTkW4c2m2GJ2SVOa/RR+KSiI1E3PLypi9gPpL74qJUvrQtpsC nHWgjmjMOYQvz1Cf64cO2k32IIh0zj/l2X4G2FE2Pc8yzedGhtMVp9cegG1QIbHbBtXr7kz2rCX7 KR0jXVrAlkGucPxzFO9NORBLbPXq5XFFeXZcyikkr/9XVC4SVZR5B1hwcHEdbW7VLjLd3lqcaSvU 9yLMtkXUPCwK+Lhw/QnN8kYAkMhzzU/AQIHjsqrkXjvCmr7YZmniSbHCmMni0c3ZU+faa4Km6UnJ mmH8hbYrLffpNQ7av3ndh75ANMJvGMCOovecfocXgiIj/qOXGZBhrVknYE287FH747XEF4Oh1HeG gWtATIe5SvSscQhej3+0wcaOtHv/CkG0AWsmx7L7SjxFR/wYdAusUva4H+7/fLRFNGevQY4f7+Eo 8Bh2L8WR1djrZl8psGitmlG7AYm+Iunvr2qE4krjWS3z/czjFEYdfwfeMwXLucgNZ4ePMC89YiA0 +2g4FbwQrlgVIOVLj3HwnTD744/wttPjIBgaputnpHPbQgBasitCKrtrRjE/7Ei6iBNMJEL4adqs OrXBZsgnwEDQmQV3InQ07ZMonWMWXoI/J+sMRpR+XmpfZS5oRKun145SunzW9BZpJe3x1TQkb4Pb 28MwcFXZfZq9mSVIGZVEfstnt1AlIGBHcMe0Rz+T6dwhdTzyNNp0E9T6BpiKOGJe7sSXgoCFWRN8 +xmrlsOJxq+SAwtHIro1Lz9QmJSNuEhvcXkGMBMNaLUVWoJNiEMJe3DycS05wAJE2SsHSo590bs1 RScW+MquH94q+bMFfFLRqQbLwIvpRb4+UAj3s1JMc+zlcYnQeIXx+RLdxz/Ze3UvqRNj/18PcNIi PVQSK3olDMu4b8D+pwZ2Da+noBNnWjqi3CmdfPZcbieEZ1GzHRGY/oeHn8ZAOIa0h1taMhD7zcca ATiyjlIqWCWv1lqj046dDK3OOvVKZf+/oXCr31UAJzAA7t586NulgI+ESyYwOMIN19o5pN3n3vTh AFNdjedo3a1zwTLZwTB7f1plu0xiWOQdpZfstUMMEskSN8AaqKTINZGbJff3bVV+cgusKMJWe8WZ 1WctDf2utL7nxrF2W6CZBEvxM7b7OaJ3Yii0tjGWV7/j5qsbv6aOXVpE+TIypiWadoQXzF1mVs3Z chlMwwsMoGtTSxibiQwDQat/+XAUf2jDJS+PRGa/33EnukQS8lZdYn7oGixkd9MvRqyH7zeAiG7P 7nI3fdagHG7hCo2hD7DbWoMKa5iFqZdKJh3xxtncIz2yO8EgkQQlmcpSgI6FKhXrdVXhb5wrohiq wrUL/VB+8J5bOi0ci0NVFdyFW/nYRSi68tO7CNKDFejTuWq0uASdMhMRIQO62038wbaVXGmscVKs KSHeBTdr4xTmZ8zuxmapzP1hdqfQTtkT93373I3adOJ4i5kBH4pnCbOcaHn33EfJTdQSs3gRaC6v x0UmlcR4zWNLocb/KnmfcbvVFTLqkoH5NC9j+xyD7u2feBlec/JTKuGkTeYtFSGExWIkUY1EvcAJ to1vMRFkfn7WFF3gwD5YmmwH9xPMqSrJSvmSeDk4eeqNGm0p9D5pyEwEJI9cy+W3B1JYx7QOyrkF mrQkPdzfTjGs/XzLImvcoYG3UXFhWLA08N5F+XHMmxSTOpD1Vpc/UyInIvjOg/kc+/W8hevuX4S2 quULaFU9qBAQ0cvWZmNt7IAUf084dXD8YWBqmVxoOzZdV98Km7xfOjxJ2G2N38Aq9SkcolY7VV/F saKxA3Ado3gBx7u5k/mu2udIxY8CW7auzCXaHfFy06YGnqIT0ashWybJFAqW2BvHTAwCpAsOZmvm kBSmJsjwP8ufSipM+Bkwx4iUmDSGMak6axaMM3tW8iqu3CYpBVobpDGHMaqna2fJzKof8d4RraAA MoaJM6d8SnnKeHTkWJi2U/CRp+Y7o3PNvkkyo/zVQZeB0kSL1OnQicU0jaaZLB/RVimCY6d0JWHk bamxMqEc5BsGhVcfEGahrWxhLFj6oC49O3eyNLcTQPTXLYXKk1yHfiROB2uQApCjZB6rIs9kQBHl Y1iZ+Rgtwlad7U2uiFgBBnWtuRzazcPwN/IePT1nJ/cIysGLBWqCD3IL9UMqouRBhOdPOtXI/xEK Ks+oA5lYSQvGJXsxeHtXieXLDHTuto77ufYo52L/gXQFpoIjD8q/Sy5MiqgC2Ay//WXqWVDu8ZHv yM0p4sv1Z3jjdQZ3l4mDfPsTZfKXLD+Sb19ZybXfpZSEEW9YiXH/OE/ZZNekWpBYUEWiV+BvioiR L9j/aXTgTsq4+XT6gLO7MjUM32JrgBl/ny55miS0MVcQnhBbrVb595IxsspA0RCI3gkSJ5YQ8+fk dPMUZCYWnixljhQAD2e7tKfN1i65xShga4kGtecUUDSUPT5+4ehEGU9AX1HMokz7m5UnFG6tEvcX Dq7Kt6cyY3ZvrrKxgOQ2JjOwZp932eTTbkrl9DbE6XrtdGLlvigNNxhZZeLPJNtFpuRAdxCmF1Qa yDKecGEFaGhX1ww85Fs0AleNAaWynbep5GHyYkomgWAP5zkfoMUy/FmG1JJsdLRNSh6mK+T8vS0n 6+2BUfTMWDjTVBYq7s62lxoTWR96E77gLeEsj3RMk72uyxPaJjjalQa05bJrZfnJlICwu3RXiN5I IRnd9FRndrtK0OfVBobxaJVseWNTMJ1/CC7syJiYyBr7uFBWj11n2u5A3YAZgXMC1mu5EX5qsTCQ +uws2DyEj+o1Lkvc0Fz6sMMxTU2dFwoUnAz5oPFmS0DKrdg1HvbJjjMmokI0U15wVOrLZj1D6P/c Ffw7gaK/bm+ql2E6o45G8D1+Tnf3cfHAXvI5urLbXJ34SenNdxdeCduXYN6UnLZi1EzIp9vY02dk rPD7t0N5SsgmHKzAiGWsxwqF/xZYEpAv3o64qE/OmyZS5S4nDndlDC2i+LoSvcYSjrJADH4OY2iG LM5W86q8kkU4qRRqARBI6X7z27W4DFhI8LCSrXljuFdyf9N2F0rmVnVT0smit6BVsuuoMktPd6u4 oIPbmn5IPfQnFz92VW/NiQ/kvF5gShr6w9naSGjxEo92In31CHTFz1p11oYZ0gT/iEerKLSufCPo 1Knd+WXFtyjqt0A94DWdVBoW+zLp6/RdgBUontLABII4qBT0bHANDh8ZtJbH5XWfJYT5n7nKoijK rFs+Xntx2FJVvaXx5clFQVH7wUSW0Kcn2+Xfzq4wZKjWOmSoecU4b+ztzTpADCghdAGTa6oMAkfV QdzS0ZJuZJ8w7MIOPWYgI7SE9uk5yf3z23OvTpDdPlRW+2+CwxfGHwYwNbqRn7UngqfLjn+2ijV9 n4/RTvy/YX+i4mOZSjxaA2HGUngJDGlhASbA51CC5moGiMP1WtpqLenzI0sfL0BXy/TBfHAGh9ON vANCEC3dAFptXsl3qNew95jQ9sw+wAWvsfeNQPPiCq5FCNS5yWGnZYgf8H0JxwPewowjTissuAj7 kuvQrN5RpC3S2PFSWfYLccTdzHTtgirgrebCvHjNpvRhcxSXmmcRIlsZTtPV30S7jhVeHA/jBRHN QpYpG100PTOJAprA+GxJ037VZGz4ppJjSnp/gtO+hO4N7Ti0FVriylivOEsmmkPS+ruWShfVFWl8 iKg4FIfo9WvFvJqTmQKzBfxuqsw3lq0a7WNRkFkKjCGWKUBFqoVqcaNjok/PfFYOjtWJvdZ6XDgY UXezMkhJVmZp7QcHAyufp1x7If7f2ZIEZI2SSyGKyl7sN5G66TOBWOiPVuJ8A1jYIOM97K0xmOs1 8Eg7rSK/sw6W6mTtNQvfOwT0hBoNySmYMpBmfDKEBHQ1CUBIRmLLqYjSboCM08qwO7mFms/RFjIi XBYWK8KU1iD4ru5S1fgePhSjjaZiTN01od6U9l253TRcB+3wferp6Azb05NS+m7yMTgf+L/T8Nlb QNMtbyMOEFyptO/hy7fTTKNK/95c5UMKwXwgrJhrr9pk6uKNxlCMbruc39Nq0qjQGpWukHeI4Dtj Q547UTufxwtH+tjjeccKjsJGE85ZmrY2AQCA2lGgP7BvV1Ruw79/5Y/pvOzVpqYdKLwve3OufklF n2jqFbATbgJEGkaB53U9LPmiAmAIhBpMnNw9HEJ0U9xNvSU794XxSiM72dFOvT+JcFoJDRYAUtbz 8WtEyPm5wo4/5bhDdENGfNqqb739rqMzjdnr77tO+qKHCX6xuQbebZQNpEsFf/oW5hq3F5DHIqj+ 9rre1PhXemzTliOnNNdAdULSlUaYwc/fBybBkCG2HDpGB0/X+8TEXhLZGj1P/8qowgpZotSmHj1m O9kWlchm8jSZqrYE+vvZ0gsCMPg2rfNGifPogXzQr0SpA3SYSL07ie3fsjRcgWnOgZ491UB9a6K5 6+FHq5MCUutzd4ErzMyEqwnUAirjP48KFi6/0FN24BfW3ELzIHhg5nedyTvCdYhu/DrroC3D0HAz +gj8ZXq0N6Ay//M1Fz++yz//1qDx+dMHVRF1HqOepXFeLzX/5kzQbxzpsgpkrp9cHhK5DsHbL1q6 Od7QsMpBjumRPgj3C7WZYbZGFOxeqWvdc5qUbxXF1QSLe69dzAwz/Bn37k3K2YF+A4GhR37tp60t 7CO87BfV7wnh5BDBucuVT3uQdAPv8d/nW791QadW7WdvEw06MDNDbsO1RcNOJcCVG4kMj0HngjeA V0iOiIoLnN0PLGqVBV+8zG1AH2OkN895T4D3SH5iX+jjWn7I9SGcC02k+xqLi2ImH2Y3vNkErsvI x4NR4EbhUv9Zmiff5AeIvy+fBA7P6GP4tWx9d8fgJlwLYp7wlEBy7qy1iAzC/OFs6PhW+DbdY32A a+xjQ23lhBm0LmiJGJKyMeH7AQLXbLhsOC2+BAnpf6kaIKacWK/XRQTPW34ea1o7GoPPNID2wkTK KPAYEy/82ZWG06WhO6AtBerq5BSE8/fTw05qeTZiX8pTnbHwUiBZvI1UWT+Nqrr7Yaz4EbVfZBXu EjehGhPrTEwtXL9Qwa9JNMX+BE1YkLpcpydZC+Fo6wJrnJp2wEeU4Me1F2s6duzh8GrO9CZv/C1T ToxFY81bzb6LknI3KAPi9qdSao6lCrt8z7O3zzyJ5Ro+iUTDFeVO5U3IbLzT9+Smgqn+P9/WllhM 2qmY2/5ZPFSiKMUucFWwQcEQ2XmzyH5ZhK/r78jCOtJ43vqKPao8QX95mjmDldKyJQEki+rDGhKT wTAYluJZ9oSJhv028RwUzirV1Tso2Nn4krkRYznoKGFhQmv99p2DUpfCmDKsssImr2YYSiAHGBD9 PwRtfv0yzvMprvhvooJ0ujOBydC9AzSqSNnQPF1HCqFlGVX6/wljMXB9+5gUMDt+Q+8FwHW2RoRr VANhtcPqii30cChStYDVLAlyAN3KIbVRcTlL8KEa3Oc3yReWYJNGDBmq6dAGbb7kc7MJrpzZaFmQ HwePGP8TaHDLkOS4A+gbSukEoRT0SA9mgqfQjfb/gT9RziKBfrAq3Zj2QVwvvSSpBpcRklLgY5jT slN+N/JlTdk3iidgbrEaGsCam8dqb9wSRgyXxuTELlY/U1YRCtImXwzCFM4DsjJDtnCRZ2DCsTDB nnxXGQNjEjv6jkdpsiiccTz6SLwu1c82ybzoUl1fOAUEvn5W+U4VEzmYw3jtFDcrL6nq04e68sSt DZouY2Q8NJgi7xQzXu5eddVwhuHXkNGEx+VeDlWHH08YqETGxFGFObzZNkaR1NWSnc71pf5dEEZu l0tnahmUcmGbnrvMXT4gbr43ZKOqm4RFqAFO+rcTOaHhXgDoV3TMEOXolO6PitH318sYWGQW4975 3XXUvOcjnEWX/0Wbcap6+5A8O6XR6QqCSSIduMuZ3fz9fKipJJwybHSgAPUWLGzIDSXP+9ugRxff 0BVbhW17PzO1qjaUh/654c7JRMCoOkXt/isSCx/A/BsBAmtsdIFBJpQdMfdAG6oHtv36ApezlAR/ p4WoHL09II1vD9bNAIM4xy7sTigRJ+PHVLc5TRoGRy+x0474KhjjFryXyV4jWAB5U82uTeCVG7gs iJZHlBUmqwhUR/lSyEGeSeDMILN3aR88t/fKWs/PjSLvSI6XaKtrTdNh/TykpExTVMBBmYKI0GLI KoH7Hjo9MKDXZPPKyK9FifAVxrtaJnPMtjDb1rnGZue1ZJve8m7E6+IIblRPo5UpKX/N6K8hqhgF oqkH52vrK03tjIsqghsejTu8hRE3m0ind0PIqTLgkKasshZi3g9dRk0bQrlXl5cnawXp9A/apErx utt1npZnCZDdUnSBfhtnnLdZBCaWPRco59rsdSjxcVgMrrPL8L0I7MDD8yJTDbIlI5fQ2C7a/qXM gsKKFtAzUiagBK5vytf40eI0SEOpPjYVxGRBORFOVMd2M9yEzOvffZT8fcH50PWWuncRV8DnA32M x88vlFIv0tL43Ws/tmYX8Vv3vapfaVHIejaKw1fVTriPR2MwWn0HCcTqm+DS0tog7prluhMnLHcs vTjF774sPcptNgeVQ3QhmdivEJmHbUWxARtzTpNIEk+LSubb/MOtL88gPUq21pZn50Swc+K7OQul OWhWoVSdyLGRQkWlPEBprN1qs33lz+xjEIzrbnmbzumvBLpAJ1e2o3yVDz/Ae7EbRd9OLLoeVxaI ivnj0YO5uPUFOf353U6lNokOD1wF4BmzMqH5DKpHLvpq/sOHkelaHu1fV4TIF2WcAdhXibp+2mqf N1qcdyJhb0UCao8yYBNHeyi+ZSa/WPs6ysno5Zahls/bqwg9kYZaG7rSfmZmczgTUkGkHrO185ul +OoVzgdMnT7+xgKF8If649W1+vKGz01q1tuUG53M3psTy4s8Q3KX6EB36lVd7qYgBjUy1nKOW8ge WzQbbW/SqwBOfsXEy+05VyNF38sG9GDyRmk/+QbNB4zB0q/qq6X0wqw6TwyHinY6FL76l0MbFQLk PEv0MiMJmQ+PpD7NRN0nWp2FeYqI3EN16ey9dOlc0utT/AHVpex+3SmZPSCpKvtW6yKJqw9v3ko2 fPFnzcfGxxxLmNylxxckri7jp8fCinK6n6AognluPscvE6rXQKD+9cztfcsvFbSVEeTdCmJM8NFK iniQ1UlDWY5iqBbcCwoNf/fXhI+KZE/vXeszZ2Q19klaCgRvhobgjlBnfyvexIIzQu7AbeMBI6Q3 vliU9Q1L0HmxqN4zOuNKUsE9znefSXjA8Hy9p79lKTwBVxCCdEb5uxTXXa/0sEM7eOl4PYkogPr8 0zs7iv2RO6WlJv6S97Wbn7MiElOKXp/HlwG+I3FI5rs4MI6mE1sq31twb72BjswF6iQIyTZTTACC Z49V2R6NTuWqnCNz5dnWMN7UBHqQ81yQn20tmOjmfIu4ENhxC5RVaU8bWcyqdUFdcQYaiXGq89U7 AksJEWybNl8bpqbR90/WpsxcF5ugm26lyPiJE/apt/Qe+gzL2w5PoQPU9ADZ6O2eQDb1Que4eqCN 9A6kBA54GKRpZAwMhhuUQh6AjPRR5jj9Jh6bVM2wghxK6Nyxab6MEjg+8eMpjKIt9GuUCqNcp2aK aJe9AsEckOroip0npmEBGB5eKRDfEnrdWwRXv/b0eet78HTnStWM70ZC6aT66ev/YBIbQHGNvR9g OZzVftF1OO15nGE3nXXRxYDTWvXjbez/L2MivuJwZJrvjITyMSOxp2QboLehvRiNcBqa3DvGkUhx hbvJ1nD9b9bDIBtPbyA3JUFCFCSQjyAZvhb16GEowywSChFIN6qnZnIbZZ//8/5ghhgctMA6uJOV p1KJvXL0lj1VfuIXcp6iZRavwmNFIULI83mD044YGRFTeKhRg32TZu6wDNzkOH6kRRLYf/l8zrNV ahZJo5f6PEnGuB6NSWhVzR/paWm+xx8wMKWZl74wHUOvr7bWTW5beIdWByLrxhyivnjkhYDnaGcz +R9o7HIY5xHw/RIEvrRfWEJDYUU8bvkf21RoSNMIvL6dJpMPdtgKm8kjtL747uwFEbBOhkSeMNbN iqDJElZUYii9goh/wUgkJiVPDC7AviSbaI9u4s3cS4jCql09rslKpAobfZxfhL9hedKszyezOMnm +uIcjkEKeCUSE8+/nclGDOiVJyBCw00UVMkW3P4C+Xp5iut7gqEK6jNpm9BpmFEjSIKwuEfDLSNr ULZVCNTTnyItqNf5jOWG/uUu1n9UfJUthEa7ECiItkK8MUR4y3DMhGPPSn1RYUKkwD6QJlVDiNoR qJaJDBFTHx4t3hLI8ha3iHrUSgvjG5zlddMg7+dG9vHGl4AFhWPlqUzxv7BL1gu4X2HAcEKwQgAC uTmsQxVFZAe6O2KynVbZhj9P7E7YUz5ITE6UGlvCpi0LeOlL4tVcZiadCqIjRsQsDHpIjEosaPKh EwPpndfF0OaA+YyCM2kKzrMqtub9QDFnPe+LzGSN7WT2mIbTB8oNqpGm+6gntjLwJFLpQ/TwtGto aeWIF+654PYB5c2SNgCmntJAoNRwTKRlNZNt5XL/7p1xzu/pucbrZ8lg6KSHsvGpdM2o85YOkQ/V pTHuoVSXXo7uwme8HiHMvsq6q3ZNIaMgm3EsLYqzSHcHi/oWEuXLCtObfoitlc3p+z01c9NNKzJT 42EInxtcpxDdPW+NUCYQ59arP9/ZEElnhRHk84Jrlb+Kfkg40BIAHCMZd2cDFrZsPiRNsxicwrP8 jLLD2D41QU/URmKaooKWAFbJhAUSNgSS6PpTpBIetXBo/Yka05ewaGlxT99RJJ0mXQ+7sxxHVut/ SAz18lE7kac2uPocgmvrqfEcm3BEWW+LrnoR1E43fnI0BE4CI9rqQ2qZxOf1uqokPUfMBvj9jcpM B8ORN9q588W8x0SBMhpEqVoepUuei5zLGreII0PO7m91S53eoODEOOEokfb4HgkakLVnuoRwmCBI bEljpUywj2h4TDv5/AslSa4kUWFPjFV7r5x6T+iIDhiAH8Jr5KYkSDCDj/rW8zJUj6LZBgkRjZh7 gUqpl6AcZbMlIirFrlGm4yKgQ1dJe/aUN++qN9F/h2vm4bQOKpOkhd6HyBf79CDR5rjRttCqSO64 y6a6mLgpHyGM3jyMd3D2VHZhNhjtGjBxe3TbcJDxgYZsBIG0SKd/eH+VgkXw+NOMHoBqbWFe9Iit tf7Mf2JEnsLkJ2gsWX1hIrAF7T5GIwt8qdOeTsVg8RCVHEielVjfe/aTMonqP2ZMg1VmZ04SI7MD iAvt7AKpqlXJaGUmQ210HJPcRDOnnSpZRgAUbUFrcSJQkSZmL6dS3cXL6kjp32vXe47/SglJJZok J+nXN/oUOUCYaq1Td/FR3glFVWfc2Dxpj1WMdiQMvbOnbEfUfNQU5zayRNqlJV002aXEzz92iZy8 auOATfnWHh2genaKcbdKlyq1cCcXy1B+OJ2WHiTQ303qiAxZHDQdT/MHS2GcFn2eUiVB0yfP4mby SjN1z6zXQXv5jF3Y9EYeNJ47YwUhYl6ERD/CV/cc0peB9NfxMhqJFwoh14Ymabdh53iF8AjaYo4s CiKJ7HGcWBeFmzDUN1JSfQV2E341/pFhMTZqD6M07t5B+8OuXXsudmULjHXsByCyehuAFYI/hLrY PtfLBVHeG8ZbILdJbewmgP6Pmt+HEjs/5OBWLsbL/RHvJ1T5Wh0P7lVPhSyE4nnaI9mP9aINbxcS 09+loZNkJJORqsL1S90PmxWdcpiODgzXFoprhYPKPeHG7YgLtHBQG/o8rn/p7GG99c9lhKieHr1r bmj285X9HX/UvGfm1Ah+lTs0sjMlYAdIFqGfV/DzagQ5OIluYiTsQphr5dPbHlZWSerisOMqCdS3 k0hj2QwFj3qd/Ws4rTaB7+uIZMagKBNcBFhxFXM6UETwIuhvyfrlcNvjvVR/TJQyCKaASVBRU/70 mOHaTUGhYQuc7WDFRr74oSvC13xJAxiTN1pBj6OZC7PQjzPvcVKse25QzsYPVldsci1ciiN2Jarq NRSAiEBci+CBnbC6ugpu0OrqANH40O9VR2O7uSklUfudgjPuQt/qusUkDHl9jYup0IPghzsedGZE h/HosNP1P0GnBfObvlxNx+TmNp86VWJD8n+eOe39DCGl0C5SComvqp4S+CpsDj2VmVBGo7RHC4Q0 RKoIXtiHIMowS9F5kONMEyMQpAy5VTOGlXrYtZY84CRXn9nYNYhZ9RJV/VXSZ9suGa1LcSSgJPzC YycnH4A8taOmOh/AyWq8Z0IY59UGTh1jvbXtibkFoN/OvIGfqZUJGSWmnfHrj8LOut9hKszQWLjW KmQCpXIY9vmc/YBUm8MpicPAx1bGva0VFSDqa3zEJ7RiwfrtL19ZN4Z+ejKxxhTAtKsAwiy8nQEO HQ5823enHcJcVB9bxvcvcYUrhMIXJerYdyEgXU8dTSt4FIo3671OsLw4ZlM4h/v3gZbURRm4r8EC p1ck6/Rx5wQ2AYzYvjbOLd5x8LhsQNR7Sq5UPMdKDmOc2W2YEL7j/HfoB7dQKgbt04hzBiqCyEJO hY/mjPWQZZ8csFmq6PI/wNFG1OhNMX3gS6MsNvU5osLX8D6BZ0qvRm0L04mx6Y0xGSmIdLm0CkSm dl6Ryjrgc84+E+xWqifm+egx7sMsRfV8lHQCRfnvH5GFF9jgzKwDoM3YZ/AkOcdrqcyfOxgnub36 Tgbasyu/97q+Ucq/F1WtHPAtNvdTIQ1cVComUNiWaou/zuPUF4W+ZMSugA1iiU6jb6ep4FzAbakS mYoOuL+h/cWeHBC0EDiyOKLGjh4r252o/KiV4xv2AgfQkLUNubnqfV7uvV7vaQPH2Y72fsj+8xhO ClFeYK3/VEFpEWl3Bua4d81HqYPsyC1P8gaRc4hRvU5ukn8wOCyZAIlRKrCnRF6nD0HwAoQGFCEz k+K81dBQ5lKnKr3uM97lXBRDgGANaOCFGdUMj8fLPnZuULzSisf9LrtTHymVrlV2aDMgReabwghn nRq+WxBB2qg875amCehgp/Ow5IoLnCcoKr0sPuHScE5tVF9uD0b13/ak4saoDesXqMIrz7kfRqUl qouLwxPUCxF2igFjjYd2mdMy7Y+qx3B8o2rOnWqmG4W3W/MQHsBgcGE9V3ImV2U+mOzoeQP95tJc egSl1J9k/P7HdhfkhaE1+j2tXfpPg3nNBFo0hE/RHWYo+WJVxBwjjc6P+Uq3nvlmIW6RBBaeLxzh TxKOhArigGT0sCs9TohEvshRd/Cbi3n3De1RqBMaijSU35TijaweZ0xRVTyE6DrLOwVxTLqIm6ut fjT3KrDQQKKA7Db794rk+E2j485xEc087Q53Tnjs7IesT6x5huiIB4PGgtjZlfzgOm4u4OQh/uRz 2f6aq0mGrFbqRnRuFf8k6gLwSj3SJkXSquNWISdV1yfDHXxVtZLi9uuedOEgeWkqMejdU8eyZfHI Ay7+7rdN4neVrDq+OxaSnYUCuOKkfuzGgmx1b39lW2gUqeXqnFTAIsVnSYptgOiv3cRfRVhXqWPu ckLatkQ8cJEqAhtz+2e+q/1xCgHe8bZXsFOFIiHDi1oQODf9p4aaOEAdIbZ/0NeKt3f+nXAfvBwA 6GxbyqsPn4eNttoxePlmh3JQjqKmCo5VH+wWZ0nhaiLOG/nGDGM51+acJdwjyoQiQK935GHMntDC WThOAiRJ93UpAYLpha4+x+YcKsSDCUPk3aCWID8JnReeSyIM1+pirTnnR2n+pkYp+4OwzCp3+hRC DDaJEbtfQmZcwo8CnZgIDNtGfQiMecCQJ+b3jH84or0VNCRiE8SPaSbXqc0g9pidVzUnrzg+PA8D RNaEgOirwwZuw6MMgXNoW2T55lRpSA32VI6OjF9FiBZ256rIfXglZumugSVJCT3p3XmzG1msRVto r8CCGx1ukjKiNpDmzhAnM9TgLMx04ldeS8DleaYeYEz7iLko4GF2FBT7qSoMZgq0WxPq56ooTsWf xVZqoPii2YiFmKYBocVkzlj6g3upwQEzLxNC8H8MhUweq0GRjmDxtAyOrADdW/aFQcSW6sZHv0WW hLkgumGaG1Z/7u5rlGmaaTaqQX72rWJ/UzNjFUREt3RCLasseYnOq6Umn13z7E1YgaWlkjyOFCD9 MpDg0V+qtnl1KZw26UoshdFrG7dnWONGOVFNVi9Tc1whXodn4dkXcSPl9aBjUnl+wFHxtY77/Cw/ xg8l+xCsk8IG8zNOn6A2+rj3v4CzTmIGud+QEpLGlz+PQL+LVZ1+fSoTLeTTX+5G7bn8cXM4510M pTbRX3+xhz2B139szWJ+OMKtb4XqEGZDnXCTus39oHaHcQiYZdXa1SVzzFO6/MhqkZvA/erlEJGK DLg6GnOMHYzG1hHmdgpgXQJXMLBxqpWVwMiZ/trRt9JH83kWKGFljlg1u3/NtM9zr+9toaquG+3n Y/c9A39/KiLLtdYhWFFG2SVxCr9RMjFb4En0a3MAoiCFiv/zbFbSykoHajF2p7jvooo5wFGWEKU5 vI6TNYHr29K21MLTOw0hNgrpFja1TLm2HIWMi40gq4eBSgeXLWmVALZbJVmTrzhsO4KsQG3kqhFA brgLmcuVHTa0B/tg9/GIrfDgpR0fH0V2aVnfrs8VqM6F1UP7C0ZWZhuMydyGfw9idGn0jC/r54LA dq9fH5/Eyi2xksby2EujEMMLDCpNl/0axCOUchDePVRQpJt8Oih+8tzwiz13PMVFRF5dhgeUfbxt 4gMb8oGZV5aUtxPa8UXasPRlScv1HHNRxAQMtDNbcViOp+K+RXFuct5uWx/mz414K59eaYk2vaXZ 9nBjDfq2gfTKsP8GWAXD2fsVdrh13O7/rjD/qAlLMZbTkolc1sKLhT8/hs7GZyd6sG/1Skoh4zI6 CPCQsj/VjuYnyFuRdgLSN47f/QSm3ssRNJMh8dxD3HmnzTDlxmUSJI6woYY1MAiLq9DJBfQf5Yjp WCCQj153Os1EOg95RdSPtoucX2inUpuIbMsyMWhE54rKt/ac6Ey/aDAyVRpcuLr+mlvpHNbtD1S5 4xBO557TY3JvnD7hjxLlBa6WFt/3LyPSHl3K5vEs2/ZH6svSi9/B+Qit47zWJ/npKVcydv5j6BPO 1Fki8o7dfVFeKu1+35h+erdejHGq5O6SRpaN2wGKpn3DgNUpp2a+N9/M4P6nfoHFIyJJWWbF+99c 7jO52xjCS+11q+Flzh1V3Q3nncsQinh4qb534qbC8W9B71nu2m4o08X7uTtwv0MOGrIgOVKEGOvh I55Pte8XK9sBAxg7Co6w+zxyJ2Q17e+6L2CnxsygKUaJi15Doys1KoJtfWc3TWlvhpEtE38CL5jy 7yYuou9QUzREuRX30SEKHG/SY/s9xJ2olp2fLNAtjPRBjTgnWzaL7Vr4YCFNnfWAH5fY7v6BlLse RXq7pfNMJPprZZVglMxW/dueqP0ORpfDoCO7/hpGN3flZKW8521X5MjjkPhLGhdC1Il2DQn/TvUg 7vnrCTTb0GUTj4mMaH+Oq3hywga1PhJuoYoMuJz6MaemHLCzwUAnMOGHO9wDuVmzfovz4hcYq0qv oeZ+j99PONsYCj347pnPkZP32dT+W1Ll/T6UXsujEZPqIUacWRddxJ1H/usNimfFreyCMMVU2oS5 xAaEgZoUZln7+1h5iKvyPP4IfCWy9/LIf+83On9D4Zftnf/BTwst/q8WvnAlMU+pKhjzOWGDd1u2 QdwfXT51bW37VEPssTTnuQn2sjIjZ5l7sute9nRSl96ypYEvqWXzCXQCG17oJRM6XS4h2yYtoRFd O1Qk2KOWJm8K+JnlqO0FXmPakIGSZcEIJbbQ5JQ0KVHl7YU5T3+5xlFDjMdsuzMOKvnvD9Uduo1X Sjeg1UF0qhlivmhwRIc7X7kJHLwnzqjPgptYJ+yBBQ3Fi9bJgcCL+OSGAcfTDnpcmTPi4cHJDZfw /lBTAOl2qC/BjZxW9FImb3SEDqScr2CD0qOhgGVidDjkfOSeQ3pM+Fh0CiRpwzwAdkAdNhsDjgWL waI+R4rolfaJzvq4O6CStn2HgCoR7khFdoEjlLwcpVsffaXI2kG+MsKsCsBmK+xO3q6IKrUJOC4k 8EQUvtUnVDLUj+24c2MTYW3QsN00T24l0dzQQSRb8HVz8bHg/bcWL1N8MizhLfEb+T0DyT6DWtGG gIfIX+MPlSFxQ03ueqnA6xOivwG0t5/O4COU3mPUleFewRj7WohO/ewSXDgx3IZnocnlCgGFz+Sf Idjs1tosnGSyxyBKgTqcyxGLz9uKSTECYNp759mnVi2thaMW/CgR+ewfgO7MAkGZJ7mbNgSdIUkq sVv6cO0lKvdLqHSmS8WWJ1WvfRaJQdX2R6AJypKogt5UxuXeKkmbPe5ijmwFNEBS2G1TG9V0wHXa 6o3TPz+ZKhnuQZ9Nhw+Ku7BnhLp8Jgvr8EtOo0KrusJyROOZUIL52GJODoTFpGIbzeImf5F5nmir OsuXFia0TSUBWxGps+/lqrQTzW3Y833rB9O4BJhk9K8DHJpavn5D12EdMsdsRH74o3Vt0TxMRbVq 3ZVxhG5yms3zYmP0W/kqITFYWuAYqnKrwRJJUUeQbObsMZ45CjQiyFJzfM8t+zeWxi59sfKXnfgV lN9eXWqaAi5Kwse8+26wO+8V6vS0W+jnTuaWXv9xKUCWK6uPh1wE6OZ07tIi9fpXYN7XDK1UI1xF TZoNwdiX5BZ95L8NOXfGZeCn9MrE563pXMoiHpKRbKWSAEzPOhSbC/WbBhoKhhG/Ly/cVu0NC1oq MOa2PIbBJBQQu24uZo1fabLvmF80r1RZhk+gTpYunqXrLLTXkDkdq1heH4AClVtloHLPc1yLKEv0 aLLJg0pBD/1TfvfGY/JaFsW488wgGJ1JMZ9sbkJ/cobiq9X95VVJKVkBo7l+MtNF/3+5YuJEINXx sfgHThLOe7049rqyIwz/bBsn5oZSeFzw0TlvwKKNnkRrsjp5qYuxP98/c1pZnDfFWkz8gzhuO5Ep N5Y4dI/SoDdu8mdXgNFiabb2VQcepEve9agcKQFnzJnwlTPX/i5Ss87JMu1AZuErhwkdOA3XghUO qC8t0LJUjzplPL+zEtK+y4jj6XCqYhFNNA48xAM222YBU1To8AKDtPb7DsG61fyDHgRC/ozHlzz7 ug/81HddEM1MVhRsyKCkUk+nPfKoh/z5SA0dfcekHwH6jsj7I4h1hckyyfU/3rSdb8bHPPSf1rLk oVDJTywfFGuu2zbVOZfL8Ofqh82ZpXFpgmY2Sib93wGpDw23VWC4FoT8Q7jvt9IPNsdIBp5yVDyW 5/3MQn6YUHqR2XiYeDWxCDI+Z2nOv+//syuhgnVNoC61US/RALL9IAeXN3zUInpGiHWOqT/U2CYt xsykywdXLRbZHm1Be71tCshPpvAR3N9i8PiBqB4De4k5KlbiTfGKv+xGd6xbAUjORMrg2n+ZjJUe k5hf/Hc92EnPPda5VEWRHBtsdpv3FjxofE7zA3+idzjXH620LAA+gZZY6fEkGshY0qccDvKFwo6/ fe89lcpLi9g0wgpU6PL7JbapvpYKXAulKdRTYU3UzkZcOF6WTaWVtPPVUqRP68rlK3IkmZMpmP+m sQ85kob/332NbK+UQRs9UG9qkuCJkBrhgeMYPkhm5LG9z+dJnJHCqd699cHYo8ES7Gv39kSegEXG rDJRto+rVqbsXPSHgC6PpgUpsxtFwvJcR/jP2fzKrCyDGNtOHh3hbiBlf1b3H6Ln+uwN16/90sWf 4jm/roUIRMB8Fcyl0RTuhg9I6OzPqNUxIe+3gCU7QNcNGmj8UkFdUPyBO5tZd/hX3omvuWbSoQ04 SI6TqW4Et8I2f7u3z9bklYgmpOq4yVqFb+eVS8kEf/LS/8qnZ9jbhojvl9vymnXvNg8jiVVc137V 6izIKtuiltpMC7F3SizRoESnJoEX64VawhCd/O07MpwKsG7snrryAk+WYh6RttAYS3eqbChGGIK5 PPbDN9+bAQYvLnLhw9C3wi00Soxne9B9p1/m8wdFhvCKcMc5xG0ZrWGHi7b+sueQFtXuSdq4yHxc vZNTsaqG1kxmp4n1yypkW03GUHCuqJOMp8SX5E+oal9BDrjvjs/jcTlDlChuwAhBNusxzmQODswi VYFsGbOQYPsjv3dzKt4EVK+yT5HPKwPWMlHXAFX7OSp6voF1QXdnS4sGSFZexkqM0wKJARyjV8UH wvrho02FMSW5/2We4pxOdE+exddvmX2ycXZW4OcBb43rK91ZIzRFaeaQRmXKhCmI4NODbXwxGP7A BLdDmC8AftzhioEB7vosKMHyHvL9hQh2O11aFMLeZwqMKy+iEgVo3bICJWIwYfS651Ho+se+nI7Q dNG836FkbApSEcnWNDswvEzCIguf9C5W+rCmIC/71X6yDZznE0pWgkNNYm6kL2z6dGvCxD+CJDRL OTguB6meYIil/itxWwrHEO4bmc8P7c9KtO366aAqWgTUWEj0O2VsCDDM1A7aigPEe4INF86uhYTf lEhQHUmAv1msrqhylm1Rf0fO5+TY1eX02MgBsg/Gn1zSz+4p2XSCFbjkF1h2pxc8bgoXu1NshALN xrfIKUfUdJFi9HQYRBH4D/QCuqMo2eCH9OwEXwZzeafab1tpcvTFSSFgvsMXEkMs9PLKbq5++wqh lKe9rZ2kAZyWJTzoJFzZSVVWBJ+zyr74h8/rTNOmXBLldZweVP3bLcxGo0lnU4BN7cGFhEU51HAH 0bsrQwLH+cPzXcmCg4bDSdxjBqRJSS+W5T9nnMxb8vearoiamQwOzf0lT3InZzce5S6692PYeqjn VWmTlVekto98So26E6uVlZGmltMvfEnJjl1dh1F5vTF32E4YR+MY+2FBDHfjKZ4Y0Z1wkZk7J2x3 Qv7kioBLmnJhh7T88OAAe5eBeccNImGeu/E6iwuJmBepfr2zHsnq+BQ4z8zTA/tLgqP8EXAu3fjR E0Lr707Rwp8wZUE2HBnCGnHMHuLvzKX8bWL9HABzTDAlJL3Ik2Lpkg7GuzauMf114ncC5hL8xJgR K5rnu/FPYjaqb9Czt9Lblm75ovRQEj7tXeZpDghc/wj56rB+YFbffxIOxAwzWWJluLjVVvB/xf0M bbEdvGfGPb2QI1D8tmewMr+y+SaEpSEKuNtdEm/YJ4rIhoM202zk5BAk3f9MOKxq5KPNlinRwTbd PPmr2Ll+tdXmRWMg5WhM+DmInoTU9Iq7UztFOVflu6Nvso37F6mrugIYtLPloGwcdGfL2j9ZvzXr kXFFXZQ64S+zZttJoBJ8wRe9chPVLPkLlreg55Gx35+247906n/h2qurB65VBTJ/iw6f+wFXoNO7 Dcr9LArW6WHeUWJ1G64PvGpJTFWiu1X+78h8tMGW1Kja8ZUXsF/cnETmAboKULIKBJ/otbasX9ai 5K36jIlpiepgGiB+Ln/drisXolC2U7Zicaok/EjaW7y/6vGxhlYBsoa8rHnEOy+uFQv+kDuOv9Z5 CfjqHdMVSS5yGkMWYSjs17shhDlMHzUd9SbXKbwjc7NXeinH6dIb+Fy9vpOQurv6Ox/xRz2tcbL+ Q1vGEn1lQJn9bYZO3CWW2VEKgtJsxWL9FP0i/TsTfPcB/Yz2cNG6NZrGd0y+IWFq7Vxt1LRdjaaq Ci5osd+me7R09CZoFaup6D2uCysGSqDiLFLXOjwganSUI0WiYxJofhhlVIJsuRixfxZsXg/zp9P8 n7VY1atYotKy/KAsVXzykV9YrzPp9WpmVwDh5vmRRz0tvkCEZAgoXynT9Y3sv1Pc/xP1vrfjk8QF Rolya2xiJWUR0VlIbR49zOkuKp3iZuZgKNkG7thOSFR4fvO7ZtK6x0cyp8ftjKbAE4AbBR/3KTR0 DL4jRZmwI+RtM8Pv19oJd9yk0X/kh6E3W/IZzjOLcQip35HHM8euEkw4Krvdf819u6zlepaicNlF RCmkHRa6996OIQshiZ5qOkl7XWpyPo9N+bLc5JB2pqStEUghJG5Wnb+uii1+Mzlm4qBOXGfmmpnz 6TeR5drIcnFBuZPWLBUEzB8AFPLKHs6vPVtl0imf0ZcYxN1enfcW6eL4FO2DHnrwerg8UqkHCkT5 Yf7b8q2gQ3/EA5jSTVA/rsAgyHU+Yift/eBGGgOKIDMsTKXHozFQybDfV6t2RR9bPpTVXVDwOf7x LsxLJNcB5FaO6MYwiPs2DM3NYlAUWc5sN2qGcDw3td+gdRB3kojcbHPLHN7NcjD5yj5pqe0s8sJ0 RpexzJE+4nFpNoyQIZbUaEZECAV0OLDR1IU3ghQYQISStaxM2r6ky1SNcbSej/TrJ887ZuAqG3GO 566hl+pZu9NMxDvHq9pRT7YmVzkobUx4JA6ZAbm47FfCNXLODpHaH4VYYL4xbK8l7/av+lwiuM5u nUH7YedV7ZcOZOBB4LALzjk8www4yvA0UW7p+l6jK7W9WCMHL4l5At2gpL0s9M9tLjiegdm9+ioT 6Yxa2Isa1GEBa6NpkdbGHA/KL4nTlolW0A2nFp9UrFRyKK/U+iXUNNsdNUhRwc8ymrv5/hhz+kCP Quwv3p1PSfWUVFJ7bFtF6KqfcruXdpPgie34j+k3mpDykn5/53AQwpJT0iUY/EvYg7ylD8kOvN6k 3Q+BILlMqYZ+elqEI9twpeYtencGW1ygjaF2pJ4d4YfBIc9W3m8fxgWUlLkkf+E8i/QYUhgGS2Cs NpDHQVIBCVZp6SZ6xJewa+Y39+JYFKgkH+axbcq8xJCOC5DSZGeWYChdAMRpBQh8YbaxyzrjLV5i duspJJnpA0zOQhniIUtnT33lfxHBMVXUwQTvT5x+vUr+yute1gCwTOXek/I3xJXkU0K1lLyAewP6 5nbjo5xfTC8UgQPyeexxF6nbs88fTN8WBn4wm8RTq5Z69rRxhLKrix1SxRa3sLj2cpDgz5N/gzle ausBNcrSHlyyTOkLa7eQxUQbenuTffiR29FHQt4iWiDObNuYd0gfK3JIAVvGhidA1NGB8GmY1mvb 5zgvSrdVUska7zZD/OkRa1TUG6KTEvzPiD4x9Hb0j0KnRCUpVnjTOHvcmc2w8qVYFnnwRSUxE4MR FjPYhjTepWVhfKoOQdLqRVbg7M/iTHdF21TNvLv6NfDIwv+L3X5N8FL91HS4jWmxndw7N02Xf8SK 6Xh/2ppj3TUq63zlVN+RfqZeiNZePbgnIq4HNiMbSmPZbV/64ZS/8IcAvGhQEPr/kUFyebkKHie/ gIyo2pEbMpOK7IYkNO86ctHStV6kow2n9GAvBCcUedECWGUQikfZgZP3I5OLR5HmfLJwICCAyEAJ 4KsXdQ337YgT50oqgLpeJKFm7HjRxAdB0jn9Lzk54mOwMyhMYu4PeP+fSZwh5UazGdMPXxiaxLAh dqfsGnuyPlm+pVCLEIKOPseh04lXdV+asMd5FGItHePwtZk8HjLSQppu6P9bluMP6EVfc0XsZzAn l0MSPHTR5ibgaorqMiwa96sDTQmNsokjKF0fxdWHy43ZWODnWn/3dEHr+34hCai4FXoKq1q4eNSt zIX7bwOb9Ec99ub+Nizv4l8RefxofG8lXXNshhWkGcyvxzG7dCbLAwF+MARDDKPaxgY1OyvlzLCn H4otoeCWeOm3N0o/jiK+Ok0m01rHEJ3sEF3gDhQnwwJzUSo50A37tD2tjcsnyTpePEIyWrrd75Zv B+vrQVncDIgGCU4AW0e8NfcN3XqZSaEypOlFcMyIxNygAb54QBkCFWejr3hGWm9aIaNUknMr9zXz z3hL6x4ZTSFV1SZTckLz8DnABoYKz8D3bX2FXBHa20O6N2U8797ERCC2IqahJrn2Hcmvfx4PCnHS F3PNg5RT46xnxV/+1aRdTyezSa009hMSRNE5gf2azeKy3PESyYsboHP90HFON8iGW7zuml9Nnwpw Vkb832BGogmf1G8dlO1HHkzzQW9+BOrYtME5VzFuj8FF7PB+TQG2mXUnbbhAvbMsqaQFa8u/ysTH gMU9ei+XBV7sTcJPYPG2BoheE0lD4usxs1z9jrcVkoP/FL6cqyhC4NH4DAKqXZKIFgoGW52SO2wC O1oiLYgaybdX3KfFajYeSW2ep9G4z5oj1j+m256W+CcGo6KWS4y9KA9Qub0ILrYHPwSuvjoYAYM5 2HlTDWdpUqqUPnHwDy1vYAxzyREMZR4rVQhB2aciNP1ZHbfJt7YklSL7ZVS5OjfB5UdGwCn/LPzL S/owKtI71EcsNZwMHT2Q6GJXz48QilzJ2A0PJ4RY9I5AKfTnBYRBR6d5kIwfZmGle5YVLUgbMjC5 fpwgD1apAa3w7baYcgP3ce5dC9oe8yb7X2Br+sYH7Y/rqOIQfoTWpQYt5wx8WQF3s5fo9L/g7gSd aCQlhngZAIOiIagcR/X/lKjxkqL4UwEyxOyofSTKQ3xX5WcP3Mi5JTnUwXK9YJkTBBc0T4HsSzH8 xiKsF4NdDBqJymZP3QgwK5PqiX1UZgMqbHlxszQ0JAEV9YgIFNa9AJsI359XjSBetn4nHCBs7g6R C1Volbw+yMKFfiB09MoNDMoi26u4VoFuKvg356abPTLW+W7xI7aLxZfKO4tc48412wr9Q1lQqMiM 9djAeYvg9jyVFy+68xgbvWBbgKJIWuUMNpmwfh8rcf2TifBlvIZ09sDfLO8bLPnIpOJPhdiQbcl7 7vFmIXr1HZw5Kw8+L/La9quOu3OGENSE6Gx6HdRDujT67ViQoON9N2kISrkjlNIXsHUPbAPHLa+i jNsfAeTD297LMoiQR0f+bIKojtKuMZloJan/LMXHwmxlJYExMm4vy7qT0gb28XKizDO88NzOF6El RBhBCb12LBIup3hGd5HJUxZ2YNhKwa+O/iGhfT3512yrbBBm6/BAk4zWEk4Gw2GXzH4/z/I1VxhX +BrawuK5XYtacfB8zE7cpX+KCznmGYL6J5W/BA/APNRIgLwEVTezkfYMGcobw0H26afh4gq28p6W kOCmG4Q3u/hV1UVx34oIHtliawfFN12PpgmM4mTvGziB6JdVuHQFf0Feq2wCvchYlc6/fa2Uq06N y36BwwESfF6Yw2oA6PCXd7E/Qg9u4G64IodGC2y/h/UNFGsibSRhKKFGeTQe2KrdO4vMwaA8PbjE sCnpYznYKVkVuAYtnuWj+KAcLn7y3SnTay3UhQ/8CyU5q/KzSVtUFQhORcMYg3sl47gRw/NtgrW+ +LrRg1ScOixWgO+KWLwl2lajZbUy2nIctjI2m0O8FDL1KYqa1t0pglpvHykB18GcgfJe1HmJLGyX GyZGJRMfUzX5SyI1sgRSQs8yBpOL1Cjxwb0wueHuRk9W/7caMPxQlCqmfeuN9eXzt0xQGJDg6Qct 4O3S3OF5TBZ6wwg66COqrGXQ1ihet4VSANN3AUEXQAToG0IopQQ7TnKa157pG3f2OM3JESMfpDVN Ve2E7tPunvMdzzPZB7pgak2aNaOPK7WYgZoNgb/acJ9ECMfekAqejhHv0J3HawMqhVYr1ZIumor0 BzuBKJY4oAPTUmltl2GAPAkeWGJ+cVh9WVm4U5PfNN/VdpM6AvACU+6FjoPT1ha/qAZY/8wObMs9 +mhIog81UgPGAb5fiDCrjbQGilUjuK0yxoiRnM0Ufw3FKyrXqe+tQyrNbG1DzG4Ee/uNcdNP/8it bG5AWqtLu2WE6dyLyrvCYMMcnx8BHQjV5ZTnWpCdJDkLtAFGG2UVsABs1g+1xdErO5+I212jOJra Ltncn6s85Rk+pHPi41HZ0G6cK6Ll4hSDXz3tkwL2dOQagTwdHH3PeaFyyTA9t17QBZNix+kW8jQS 6SzRWtthFyySq7fUauCeU5O3k0vPk+elvHnaTMoJLifTnd/kJoHAW4Y+vjpH7h0GQQBXpQtf9dAq z4nwYjIRKpanxLulijLet/wUy0V9WVnp1QqJgmwxaugk8z2g/D9PmZhnVfGBWU5FHLs12XuarBeW +TbvBnWIjkc4T3Q2hInVbe9isgU7AQK9gvf4UHHWwItTvYakaTjNBItaMLOvFZ3q7fpw6fGumJps 8OMqFzt4MMYpnjptG3UCr6ZvR+TgfHy5u7xiu9eET7/1xrDreGsbl7w99Mel6HPBFgzuchYhUjah bbYh45iAkD4t9LNQF4kKUXZS51M1WizYeOgHdLiSO05LsSyXOd2/ifFt+Kb1+AFUFrQ9cFbnbU7x iCbptypgKqsY7ABfFrZhpAcZ9ZMi67JykJ+XHHg6aKcWunHH3tOMKLhI6GZNQhQiUF49EulCkyl0 q3dD18qIKZQvED1yaFvyUpLXR5L9xPNKCVbSWv81b+VLrijRS1Bco2M76U+r01lr/oAFElhHgY9t 0pWH6hpMeTOAgvrZPaT5AfEsJSaPPPi9HbmaukwSpkOQ4Ra8uBoeSNX4acvhN33oDZFJWaQs1llA 9ENS1nX3WBk2nTF3ERyGzFbI81VbkNXgA7858IaveZhqinL7L7u26eqNPPecoVSqagiTU1TiFHp7 O96BTEg/4aiOF04pAs6RPFz2GIajb3hAjvX98PaPJIa8jyDZSIjPlVzkFT2b6maeWNRzi3Jtv+yi WLRo58zC/Q6cfCKV1z3KoeD2qFFXFyObRzGcoGUeDkd7xxs/xdc/LJslQ5HKmwJv0bhHW2TL2oHh BMpv07NRyggVGI1raIRKtoub2FGutNIFwOwsd9OJK6wUhb2+tDuOLwcivC82OB/BnAQkRAGROU1f 49+z2iGR3d6SMLXFOF3Kyd2BOAOaKQ2A1IfJzrGoj3/yX44Ai7NbyOLuXj/hkrxXLt0lbect0NXQ 0aYFrBWd4dQMgc8GW0E+KilJh9vim+kOs48Id2m6BpZH7i0C69+h+VN5C1KC0YYdIqk4GRp9gnDN EYOGvxvbE0IfQah02XDRB10jkPd4yAtAyrWLYD9GLLty3GajXFSf2DdCCYyjlgdFewKRFlkqCtJP Lu+WZQK/r2OHllerkJlkX6/4JJyL+r6ND4cJseVw/yCHSO2ZOLt1P5/VbkuHAd+8rnslAnbcaYI9 KN+LpgrX1nNam63mzamPGRZvSnCt0jxQtgCut019Qc/NZ1WHDz+oGXnxA4qr1b6GX69PHGUaC0MH cF7VqMKLswdz0h0kC8Ls4na8RkJm9vYLuJX6OwVcihD9fKdDZKlJcysPkmbDTRFDZU+7bD5fS0s7 B0q1zOiBrjLjMXF2HhMLiyy2aPJZQ8LQ0yK/YoaLGVwQSFlSiWkLx0omA1vUuL/xHHSWIzOmYhMN IWd5D7ZrhXbl+MKVJzD43dQM4DTXZMsfVB1MWL3otQlGRTssRK8giSELMT0VS/0tnl1B+atO68ei yUYwKGjof8gcWmk3YxjiAUHeKqi7aOQnmrH8f8FnOrcJ3vSD3RsgLTpl5SjacBS6/yrEabHJq4a9 miXU0R3/04kuHmzxFSh8bFKTPunmGzPPe3UixjtaFgjaIf/703Xw8cuYg9G5VVgljcNq9wxiB3wS 7WRJo7yP8WAiG1aPmoT/xN/wh0YUDT/maVsGYAxulEaxI02/VR4xAybrn6w8WdvtT7U/nr0jp8Bf C781hdpp8N3lMSo8MIjcCHclOqDcbvcblMNkMLca6Vtt5U5Lt4dTGVINW2C3OE9daXI0GWH40/kA R+1WAIDtp4Bza/T6DwwDPsD68At3Y1UHXVs10ucVmeb/SuI008NyIOT3Xioyt6erptwpDQxoNfC+ 8OeZA/lDg61ujEKJR/yl1/l3E/C1gQsPk/t87lVle4NtqTLLfNxWCYmKJDaD9koeaTeRiI/czx3V mbRH10bqUn5oyLEIb0A+zjrZ46i1S9+hvBnTvnAu3LpsB3HaTfpRlyFRzcc09kw8ZtZtcILZP/l9 QayD3jyRw1Zpi5hUX8NRAgdvq09r11+OdMSqWGdlnTAKddjg2RFmkp7wG7KQE3xEo7TxDybEmLV2 YtICiL7YOPLR+xbTrUZ/cfxayDs5ADjWMTwNai86/jqDCN6FMmV01r2bXaT6mH2CQ+/3kalppGnP Y5WB4pZG9rlPw4KtL0EpX9kngrgBP/mhmA4IjXtZ18RLpGNtzJaUf9GqT6gEgku/hqYiA2f4Y00R ocljQQzlGsFBZ/krAs19IU2tkThcCpAgkxSu/ng+VitS/G1BrOczZaWiG4xwi/Pd2yrCWiBirEj0 pFgP3sLrjY/5bhB9AHs+LfLRJzbdmZcYx0GC4pLVBvRojGrE+tnO1IOdbtTSBq+D6TV+ce5xCUy0 aTZAGoE6nRkJ8gME1odL2c8LvUVckLaOqG7VN27BSjqlGcmllwvhKgyGoAQEwo9wNL4zVNrBUssd UwDILl966ubQUUWE6dp6/L+gV8Chbo0wZ+dR8Bc/xSUNY/zqz54b87Sb4+EDAi3LR/raTS3Fx6ox +A1afhs/bWfgiee3ZoyDARO6lwcSGB2/53kNhp/vebxNSdN2pHgLljzDNSiRhnTb6eBvb4USUct6 EMbwEPQBGC5PIKXRc7JqTMT1CocYnEq2irRX8mRkRkLwbjO6I8HKfYAsLNBYCmtVEcEeHWDChrzr X+KM7EdqV7C3lDJIFymyJAeKRajQfI2QC48CUomcz00Cc7bMR8Uqi12uxTvIFUAAZGZnvBVzs01F SOF0jE8xJdzmHPsgPcY+gkq3JIN5hJKXkOEke7Vs3m+UtdwKUdE4tvA60FCycUBG11ZXlRYh/i6m +rfF2LYins5fK+1Uk2hy+oAV3wgJdIYWVazfdZEIIuq0/qO05OakhUrz3BDRlEnBBZNAMn/K8hcw EC5/Al4HKoTs1K6Z1nUajM2jQuiG8bsZ4KVlMZcITB8WVuXtDrrwEDboHSBbvbBOZTzNMwafZiE0 oS8Icsnm13ELCA0SWXZ5YpgVaKXJT2rBycisPVocJ5NaIP9ryhSGh2ujTYKCUWqe3z0yvN9YqRbi Au4jagN/lMLrhOVzdwW3J02XzfEX1z/9mjDUHg7hc6TWg5r7gkwBmTkuzZt4oINkIj5hmb7y5How T5JtRKrqMf0ZVFLlVig6DUNPz9gjeh62YSDNT644KCaz0E2qdHFaheXwo7zPCH2k5nHTuoEKmL1F /xpyLGpEEkMLxoVXw7JHO7H4wpr8dx7XF+9TQCA1sW9KZT0NHoR4Kiooxzi7CudgclyyIhsGbgNl 5WsJC1Dt2n+aVvUcSJpnngxFeV1MsAPi3CcbuiT53NMNkYzl6tgt+2i0Ud7sbMyYISEGtdfKCCH9 sofMaIGOTVKxe99x7oarYFcKVOPZdp7o3QQ0ATeADPc8G7RocIDKo6jCJfVJz9RADmOqAMTgfrPk M2OhC2JkXZLRAoAsmoH7b0vIWpQnheLb1VrnnyJj3sBY+Td/jvtMfmSIV72ElU41xJv/qMF8s7qp ETcCsFiEZWcNTrxr6FDZLk6Y2QRfkv8pUGQSCu1NpfUFduFulvFKuaDLUlD2SSAh+S82E82a9ZS7 wlVk4O5CN+G+vNnWF22o2BA8oBvH68AkfGlqFdjZhw7AodPRMDnNzt+Wr4Z/yRLcs8FKZzcDjFEh a6rFHwNAlzAa0pMiBlA5VslozCH53zvSQzzbonnLjVBgvrMsFUkfrY6qTNywc5JB+yXiasPvoHUA 2cfSE0h/k7aMjqHLZVTzQkCJyIFUnVLHdRApciHdzuGD9WXPvSE2Vx5yp3VrJPHpHXpJ1sKSYAsX 04XtILSbSiCirLvbIT1wlpdyO3mmwiGYbF6UvfqPM1m6phRL0qAzn3LtH91yQ7iGgjSDjNpDSNHH n6tjSYnaylWS9QcKzzPaQpxYOKG5fts4337gyrLEkxnYlgT1Rv306MTxrWmZZl9eiO9LihZGR9aj WiL/BUx8klpVUZXrZocH1dh20jngKk5nMFVNPajDDbBb7a7XuN5QsrzOCrKrRSvkZ5KmkzD87uhB YFIpFGvraTUxRtSKyf4zj3d4raoHyO+/FzbhN8paZAyt9SxC+N57PPMxt72dsxVVT/uWpAM1WCcT COzPfMYnZWGM7JRdFPQWVx4TrvAsThFPFUuGh72OOKqn1Tr1g3UZ4qv4lICM699Bk1d12wnFoylQ Y55TB3pcDwNVzNed+4wwJMDebzHfDLgPmztBRcWoiJ4p0SEfY/oTg04tKInhqUKXd+BS/oXQJGj0 noicCZJHdoveHWGmj9f7QL+ja00Zom2vztEB3mJkpD7Go+MR2O/jxTKs6lGE0uvCxLHNpjkirAXP l5xTH6HkBesL5aOg/GwxammJVn0jTlYZ3sXfva2cJNRzzq7gWdMc4Vjw5LxdetzKJzGEW6HV6Sex A6u9ZOPGh7ARCCpGv3ZwveB365+Sh0zEZknjrIcss7UIXopB6xmNaUZZyh/1yb4EO5+GbMPgzOi4 V+OSFgXXdtjyAA1/RXB7KBGXMiK2kO031O53pvcrEBXBUvGiWLqGDy30wkk965pAL2PRWvBXIfew 8uxl79urMGM/wbfC8IXnCu1BPFI/qcbbtrbaoa5cnfJX9x0EfHusTJsPD/HILp1AwwELgcdXWatD 8CCedrJDlW13qOYu6wYvF1QS3gZAkRTg01Mxv2HCEasS8ujm9DZ8bXotvE1fqMESFVAa0E21vTVK BrA8oXTtJwuNssFWnwUmSFM8sN4OAqIMoxaEOD904TrEdxfawqnW8tw9dpj4evl9NxZZZpBcneT7 y50yKWfWR03gK4VG6e0NuxJXcfSWZu9QiYPWbZ0MNxcpBpao5bMN7oe7W5SzedkbSZHPdOwLRzkl Ry5kR2a6Drj/DnE3WLNIjIK27gGue/05erAwkrrAT0xmmagazpbUcPvdXgIn3MRLXTRvaN+QsIt8 oZXB5erOYv4mLykV7muEGwH++M42lH76lY8OUSMDI9WhGqWoLSsLbzn39MH0Q33c9sMvpgmRoum5 wfb7AroTtnqQtw3H1ihy0cQZJOFHimYvAaoOegeEfTTsWl/H3rcF2KF2bmnQfD8WE5b0BL7y4HLS B0zaNWCMYeLi3VKqnIzw7agMlxCQwKZ6YtDjp8o9oLDz+vqit+vcGvyELqNPPAaYHEx2eeoAO0Hn SOtDYLNZkt/r5jsBahhPOTvRGQ3DgDPX2nWX92jqOM2iTA7QjYx/qFhloPJ0O/Uks+6nUzxlOolm oikMOuk5Gn8qGHcBgfiT+bqPvsGnxyct0CeeEGjWrd+2xYVqx2y3G4ibT8gTJINZh0gCCtZ4cdtj kkqODBfteoOOKuu4TlfFyA9VaUkCseB2t2RSV9hSOJ33W97EAVBlb8aTHrFwEWC8EUFdN4b7ULYw dIynrUY/BDp1tSjPRp6XM1hfySm+XPzKcjRv/JHWQVsa8CPV7IasQ1kjxLdU7ClRyMKD3mcVEC/4 nJjtIpG02iRHSEo6JT9rL8fpnJm0cLK5tZLgtQiYz0dOHWtTbgrJl5Y77kstl5bgofEza8s4SIMH 6F5pxo4Wm20+SEEKA5EyHxkCPJVaU/zLpNkRWlESRoHUVOO5AHNmF8wiyxWTzRvq+w9K5M9ERLm6 6UbhXhfJ/w5EC/J57WdDz720+coXFC2kuILRRWkcLfjLXRU0UttHinchrj/5hyrovPG8TWpXkWy9 f9iGN8yeLpLiKqvS66eTyt4YEle52Vs4A4vhhuAjLlb89H8R1fm5Ey/tgm5rpavkoCNRCf7CQImz Y6jmNbc83MDQ+QJNNEDcUMpkOAmN+4FC81LA+8xMCtnBtWkFtHkB0Icw921PNxhvPlYpo5XjvsF1 opG3PSJnbEvQV29mEvL1MquqlSzTU59eeyDcYLzTiZ7YgvP3UgNDnK0zCIzhI7/MqSOc5jeeIlXg O+LMPMZIWAlNmqcZE9ViXjrq/8HrW0YkDNUfvz9ghZ11lfT/AH+2Y6QbxWZoUt1NcwziywKP3F+m K8t0keSVowe5RmO/+UnzTjUNjp2dbLfrAe3TeYAVb5ANzPM34+a+lS0BOeUIkZL/4EnGyW3aMQ3t pkvP9sVZigaAuifPgtcxAOFp6XyOdIhWBMAxylGL7oX6rb3rFzQdm2hWaAn1fwBqRTZWV7g9eczF S6qXr0bvvk+BM2UDm4JLcJLLMkCRztrdIkRnDNNycJaxCkP+Sjvxg6BVbz2vA8jcW5yiGyNy62Ef pIQlf9MK85pI+XIpgO1TihfAgYYbNiWJhMM/Id5uxDnDGN+5lGLAAM0fXme+SM2PakV1ZM71o8mv O/75ecB14ki75LtFQF6B+USz3By5md9Mhj1cGBzulYSichdAD7QqrRCIh/3lDjXFzfI/OmoUOe5D IiGVpvfouztbLOblVubx6lbtBKYex4WFHn7g4v5hzJ7K+rEwz7qp9DOhUZEJG1wr2yqqj+irn2uo 8IRU1KGbRu3tobpKr7ZaaWgcfIRQoCwRyKH5c7bbON8+uo6nSw7gnjvf1OteEGpuq/DXDnzju+P1 rmbrWCONiGsQ7sr/Y4CWEFBJ0IXe7+kb9PnLDgKQq71S4E5OJIgW+wxRRCEsx3jzUcdd8hs1CbYu OX33yqP2yc4efjU6xZcxiKNlTcpncQX6Y2Zy/ZJ/IkQjUeFvFLzq1UnFMb2yTCR0zVLR4XyczkFL 3GpUDe6zt2M8DopP77GemiLXmzR7YFVMd8bO1u9Tximvdl5G1KSMCkifNNu0ieO7lskaSnkwnqNm m8HpyIxtHFvsXEFEnL/KmmyG3/tBqk3oH47GZHZU9OBfHEEEvXLDuHZEYXaGG4tDX6o+T5cr8cBe lIE61/kIa0AoRGCdtswSH3hx2Al+zoEfAHSurEqCSU6EVsm3HeRRRr4CYyua2SVOxuthPZRi3tm5 LRTC4Pw7XHZjxEBtnBvMqMJmWccawsLVTkH+CxkYfKHbNuGIenpgPLqJXdKSBLh/cWoJd7ks7bt0 sOWLvzUF4LAlBu9xCZLPO9t6NXXpdBCy5doIksWTfqwKevUw2DiR/mSPAmw0tjA57YDBjlXF8F5i MjD77j6ERQ45z+Nb6NHJk7luoyKaaRrH7OqC8gk55efr57UW2g7wDoK33CDiFiSEUreJkBiQ0EYY 847L2JTTuXGdCc4Sow9lSBhJwHDwF0ub+DHn0W3JdcO8cBMSw1H8Qu+gL4o8Vrkr+NgLoYClzDFg cPrsbm6+ox36c3Tb+RsCJQKxMy/zr6H0Vdkg85+Ay9RETfpbTzPn69DKerkEWxrQZbo5fFgYRMvD WulN/gqqnmsLMtmXGOMCUG/rN1b3fSy7i5fkkpYqRS4cAkwQWCYhiHBTfpPi2E/PFeas1TUeWXy6 UQoacbXNZWFv0epzBmBeDItU6UkbWiX/X1n1VP9va0wFG+PeSJis7Kx+NFYbApwLOy/zOVC7kkhn dk3X5GBvLVJdUCZMmjT0RgBfSrfcAXeKk/JaAa+u6FNoCz2h6kxocl0+StkUtl+3QMnpV9G6hE5B 3Ug47jJ1wyuKvPwQk5gYIJK41oMlzSRgDbFT+cbf7cTt+S6j2fYAyIIiN8xOB9avjs8/OOaK7oMv zr+cKwJHeY0vBF2CeaOmiXYKnKEckv6adx+yFUdX7dgulMHymeLLS1asrFCQ7obRyyI4ARQrcPZs 1yKOmm3JLx4bJgXc2PloK03JylHVGAam1wmlEw3AZacVebHsZDWNELKGsh/lSa8mIDLVW5ce0HRE Z4SWujHXB9QrF1aHrZfz2sxVT91waib4D73mciexPFp4LwgJJ+JK+6jgUIKuUnaN0aa3oNLimn79 J7Py0wXVEtDBDwgh9SrkOLRx2whcubQSKecbJnkB407DAAWQLXvy2B4EkfBGb11o+th9FYa25hHZ sEI+haXuSRCcuVQmVWt0+IAeRi1SIoqSo+6RLVSpHwkR3UDbxILJ0sJpw0bmovzwAzp3+DNXnteR HhX7UnxkT0osVqh2YrqGMqVDnohn+vtMk/2NDIyL45nR17ruy1hJ/oMoJzGry+C4BxDm9v+cWEzU YyS5T94kd5tseakQhNosskgCATWHM1qQ1s959YGHPC4KFYNteyQ2P0ocUFS0/Lf1Aqd+HWzOHTwX IuE75obBFUBnJxKFkRqnLSpmbUOBi3zpVpy+OyHItLUh9scRPvMgMhNEW41ceiN4cRstptzIuPkY 30e69MboiAjR2dGouHOmW9JGK97FN31KiqqvOecdjVpXXPi0KQp27FaVVeca8h/pnHlBGp/4k7JF fYfRvf+sNcA3SNMBO2BvEEzqSQGhxEIaCpUYi6mTO0+IBrptOPXyET7WFEZOLL17caVWKd5yuDjN brLXOHcoPS4Raw+ouVRR9U30RR42tAoWAbsfAzLyiPsIN4BhdpT52WjRYcvGX4PuJD8Q4TZXRDJt yaQ/eSUSZROKaW4suserLBL9UAEBS2Ns3ZYxV4nVxiHxDo930eu7n7SU01BAKRL/xaBnEtPF1b5E aEMOlSJ2pSL4WH3YMxv8QBp5DMVSu/IX1ylrxo29XK7Z0S31eW2ShpKTfTRlgq193lMxLOT3n24m rrR1M9/ACTsbwtQLzlGYi8vYINPamg8DrrQCxswApvytL6MYr5CH8jLK/FCJNytuKJDcP3rQUVTw xRVuQ8MH1vBcMS1wQMCF/s1TkHIQyzT9CtYDsRedndUbsa3ja4UJwMWCpufsgzJMadCYM+6yPU88 Trajf57koO+3253alfh+pyF7piAhYNlUZtoVHSnMNHEXMq2BQ7le+nXoTa47oOx0EwWVSt0stC0L j49if1eB3Rpj9KUvhrSG7zTprreNPO46pXSEB76A2mmiqJbakgzEYoJRkLwoE6WQFM15ma16JWGW PIIGw7RUuxqX5hJ0Ryob3kPB60EAY5Gb+hIB6ZInXDQwcJUPrrJSGCjDLV92NPYIKq4cV1eBxRTm 5CPp615lxW4V+F6SWMNsafCB9ogb1IaAiK0UD41pyarEvm0PAYa5zwklbLV3uqRciLd0WJrnGEYu kGXzNU4KOQAXcbhQUBO6yp7P5+afbuvosPAci+ltn3YdrIL1AZbsRoJCD2O0dkxV+25qroaGQoDs HpAUmHwy47cvaBHD1/U0jpb9CaPBZHA65OiZ1uqkr6b2V25mxL9MCcVU0Qpyv2DeDFylrUKp2cna rbAoC7jGKhQzh9suA3+/8sOT+BcR4sCnz66eGPBrKAr36dTWf/XMXYOfBXqiBuYbckaH3B4n1dIn ItyLyYsQfX88NlDsZ2cgmgiTC9g4LgBq/zBKQuWSVP+ob37Bol9z+IX/fK5RIrJN3lUf8Jr9z3aq Pe067Q6mnB9iicyKOzrJMrwyToiYcjpHDIFVfop6Ka+Anv/wj0lBdIJnQFtdGfrJfGOWoTniegVl N4IkFtP6r3Xjn9iN52J93AVFN1sSui0r9WNk4Szx0Mb2XYhIWbKufzPCOU5oLUup1pMKG2ZjrEt9 GSft4+B41gaKqakmn8rxZOx43yAHTc2G0i92/m90hM5xBnhj8SxU930hvik9ow8EDdmVEz55PJjt 1lQeHdl0SHN+H3AlVcfJDQ5c5kxbGut9eePJ6xiwKSGk7QWLLicrtscKVs2Qh6ZkbNH9Fqt0jA4N 70A1RrQolaxm8rSRbyOPK4KQZkmXMmniUX3hBwHOIyT+4Y/pZW2y344d7xXpBE/yJE6JDOGnWMgL kDgUMfCWWwOj+BaIhp6rrjJufR+wFVUO4+4fl8taEubde4bR06xtwWjAYWRu+Cv3ZLfld0i4uJwQ rq7kMHeEmaJke0BCqr8BaaGKVVIO+qEcjiWQ0IyCLgX8zKayyPJT6ZLXHzBdjvDdncPXtRpOY/ue mRyMdNG/yLK5owZ6VPqRce2uPaZG0drP264EJoHSBLGPP13g2yQDwTkB30u8HcRjwwEt81344LvW 0iR9E7XhI8HnQaKx4TdwITgD67C+k/HHmG9E1fUnKMnOun5SRczVRuNjNx3LDQ5pPqw2MxZjgT5C Co56q59PRaYpASGTW45QNXpjEIvTaIGOAhaDnW6zXgYFVXQzADWOvOMLLhoWZJLakuSaI7J3IV6u 24902ju4R4iKlEcKPNDQY+FaMBvK3XrAcoRPemdpS0POZfSqSaHJKh7DsTL1zmUSPA/4R0jn/fxP 0VZk+bAMbhwNUOvNtZRGqnBSFB5yUGMFfxdXzLVaffQFEbcBTvvoV2pPzLcgFTSmKFuoYZ9Lvyz5 PIqMoRRbeAGEV732U+RTcI5ZGaCqPR1kTMjrGS0nBA/yGOSSH+ayykuRx0kRj9wtmYw8i/x5Lf2d cim5KZDq3/UiVpcMhepDfPauBLuxpluKLkvCdbl2v/53U+4FnhpiEUM4ToUY/IAmAh16vsdMP4Yy 1Yjq3N9FVBIraDM1ouAOkImbXUNN5Ap98PgpDuofxqj/Xzkix4Ny6msMeTLBHEIJs6icVHhShR8q EMNmfgl16wSRX4196LrIuAQVEAs3F4fjsDMIj8MvC4eDkztlmctgRdVi6GDjqMiZKVePTK3nswdU P4d4csiL/DVItIocKGmEXE9LMaBajEV3NWSwpcMKmv8Ayyuuw8dfCh3giatU+dgKHHujNHNrNd56 gs+uadJ/HaH51BqfIqGJryzf0t2wEO+FzCuO3gju8E7Z2sv3RxdMJIokPe+dogRnzy8uFScvs6zr K+ildrFRaAjypKRTF854eByZkLpRXgNkg8/1zAKJRszYJc9t+3dUz1kTlYRO0DeBZy0EV/GvYt43 dGjgfYi7FT/NIeLAGJATjkh178edRFruiRCy39u77YQJEDWextO8YQTsCVJGtsCh0c8YjRmAgZvG I7vxfXAqXWlEHb+OUrU28a1dzdS5Pw6dBQZkZz+V+i19eqbw9x65xAwYQkcQp6GSREaaSsuxoKaz uaqkTNFfcP76jz8QIPTX/qfDTJd3TsLEqnjUIfv3ley+2uQ+kZEA+jRav5nly0Fo8qL06MLbmSty JE+WqU65pRMqIPLZRghSLlvzXAmaMMOkevEStZOpT4NNkS9PZh4beo1p/qE4W6d3se7iD6+QRT/J ERTGRWKtjDiCtLkVZ+PrWngRR4YYNLp13vIhMrT62NY6l6ALKpFsrYE8wcHoivPS+U7lH0nbQBeS mE0ZiJY4gokMPbx1/u7np2a7zgrVSQLTKKdp0GkBkhg2q1RUg9HqriT9x/RV/uoDx8IwFzO2QYE/ jmpZ5DJrcxrQkO12BK4dmZA2jsyQMSplUzprs4J5p1P1lmIaS5Pt1jvu0zANOzd5+56nKSOcZZSa aMKOfOKWAt8+fJgtdQrv5FaTxSY4NzqC6mgrzz6hwHCZQebEnMOnqKvqfmbA+uOARQ6qn/ciLmA/ RUxzJPVkkygPI9yxczvVHPNxOYjxYRuomnOLihh5+dIzvSCOkrr5Acu1UwNQ1YnPACb5yL0HwkPQ h6IQjm8qsk2WzEB6xCpR845MQM2xIcAZ5U+ZiC1dw4loxpCK17vxl3hhl/d7NEXiwICDNo9m0/e8 KZQTfwqtB6pMhcDpuUW0DlS2TamE+XGcYNwkheQPYuXXb38YSBfhzSlRNqTf1nUha41p5RGPBJIA adWv2HuBQOTGXMsAFstJMVdwmzrr/x/zciOvBEVvRIvWIC9N4CoBqRQI/iQIvR28m1F0OvAUbiyt VAcWw3uUsp1l4F+lzObJ84QuOtlkdQ5uc/IkEAkHHX59RPfGPvkzycSvUnrDZr+B7KJ2INdmgxfl WwdIt8vvXLkusKDjQN0P1jN0zuOKPV1szUcamKzQdMezCJKzfolabrA51Yvs3XBc4x9oH2+xLbOo VAc0SB0YKmmCqnQ4sNy3siGDa4vdbxF5b+kykTUc7o/eyTjcPn5CarXMMVwoKanzaOiZTaswj/Yx HgF+BwNkiV1df4/yeP43r5Mix7Im/7KsOZku7IwJZJizuE21Y1KocKV28pxnKrNQHIcNqg6Vuh3t ICxlQqq1h5c9y7GianuIALlj2kdWmcU0KJyspxfdnUlXDeo0y+MiZaAkFCujb0JKZX3+lnzppvIZ o0u80e54wAdY4zybM1NuDyvjMed6FcW9zoUx4tVCzQVoiCsX94z96LkhRMkxY0yh8guRoaKa7ZK9 TXU6eLWMDHWaVeqipvaH9UhkLQcrh1zKOSfZeV3RFmt6u1MNewwxeP8/pDBEfblUYZdZ/GNCPa6Z +VEpOFwyUJi2z5h69DnK8xqTLEFvC5DKvHWrBCbciMN453rquT1IZXPHM0uHaAh0Vnpv5t9w4Mbt Z0uKy8saZrZt/45fMDYaEofcIeLTwauBKi47Nk0bP2ue0ow5zIgSEXXrYIHLhezM1uaVsPEgrtvq UNabagq0R4c4FSxEX6tEbT0zINn+OtLHdvHsSy28SV6MVc0yaOZJ2AdMAUiDoX8uNz28uKnVifIv jYbwiioFvc51/pqqgN1o9KqfxkyoUCNVEXMWnIiZWhavIQH4srlyJwJ0Kms8NRB0ry/ceaXferUY 7Ye3xu93VpjGM+tGazskD2ilT6Sm2cppBcTxe4eaR9HzQbWH+4uPh48zVVu/AdW2QnhQzcoXTC/S mRoPfmeruZAnRIHQzaS8TGz21ggj1qFpHsYsn/uWylZVC8D4bn4xL8pRrxTObaxkFiAscZsogXWH cmRf4VcHDFQxjLpOoDmkfYZ/tfJ/eEyTtXJkgjrD46QEBDY4PTvAM/1dI8ZFzewwc0NthQms8p/z 0rBUaBV4Urq4fTdBZEKt5cyUXRmqwAcoTugOVRIWGi3Q2eRNufbSjngQ2FEeSGS4BwmpMkXHp2ku pRyLO73+L5snSULFVKbZxyZQrz8cjlLHa56L9cRj5MA3de0MVPv38L0wHk0MytkpmgE8mOVrYWE5 h/0lk5nCiyLeq2M8kWWX3nGkGVQSZpurDJsCE1hKMfF8dqYy/4oVp3P0cbEst6tf+3aR3YLq2KIg hs9fX/nQ2JRHm8qy04DuK+X/zegt2srqeeCeibEdo4INNMqDNFd3yvX0mcWC/oEmMx3MBf7aPeS7 f3wUZG5URDCA93qQa8L843VqSWdd0wdszMKeAOR4bZxGEKZLAPzQOtmeNtRfKUjeYyxk4YIc4wLT S0VviHgdSLqIkwSlwj55k/A/0Knt73uZoggNTwkpR+8SATE+ybcV07kzzIL18TjKTfJ7UTOKfHpZ AHjzH27kSaoRZV8nDoIl16voHtm5GEdti2s9slLkmTcSwrFlhhf6lswYJ3rNWtPONYyFZHQ1yVNy zX0D35cP5ogtEaoGYBbaGmMn5LnwtmmFLgQ83J7XBo48zFRHg0WjBvqtfy6sB70GPnRp6YLeUC46 WoZPkWB1x+z0zECL2ZM5+jZ2GY9hFK/yqQ69GV6Yu+rfnDLYTH55z+115bmdp8QWuraUlywFw0bY sGftvwChHP2kbSbSpT2Puh/XgH9yLcacsUwCuvN4b90+btBMySu2muQtrc4pJyRkdLxJxGeZtAlB cf9nTmjPWLv5lBkPXcQq2vqldpiqriM73ZxDvlWvjWMKVgviLxI+T8aHWI0YuHqfoJNCfqERK9MM xFW2XOUE/BsJrhZxlpZS3JmrUlLHzXaKb0lr8gk2z952u3APECGOTRrC1bNWvJzbgrsEzgyI2MCc CmLOM12oXuVmQgqAsWEkbxgoeUA/Tc2+wWdwYZ8ksUYTvxZA1sKPc/bvqGVWuC88+sDidhUNRPci /cMeqnDc5cMjAU7QS2pGShLXlsQJUlJHnuWiLDjQSgT0QbsmxECUeeKHhS8cefn4Jx+OuSUSgNSb CWfFFHWCoJH3VxhIFhoEfbKACEVqtEBvDPjmFUuoDAt8YHrqVr7aoBVQ7d+OiH2kJAKYu6bZHLGY Iz3n+/iUjYpwahPfsQxqOzIoqOUsZO+0yuR4d9gDZwzSHOdDqfxkUdtwJ7rjadTdoveRmJ5MzrrP VA3u5XgTtU4wRg2MelnTydW1g979qHQO+FnPvLHoa3ydilh/MSlI1VopkHxVKpX+vpd80jTDYQ1o xUA9CplKjCKOfQ0bIfUJT0GxNTjspJ4JoLmBdFDolJkL407jAeWfw3kwxymCEuMNRzduuE5GJUB9 bGd3FEK4miCDAt+p+KBrxi5ck/Cy4kAqMAL+uRGvgHBEi2EI6ECEuDndh+9++QfLppYI1N55Jy0t DALY1XnlE7LVQkYJ5/g6anfqSjFvx4uJVTO9wLSJJ1NAVPQ3R5Nb1b+s9bRc9iMMm4Xg7rkLrJZB oiPkWtdOxU86jpcgJJmHJyFr3OOyPzMclVEq+BJRCBJex0PXAQuJTMBpGYddXEQ9FsWfdl1jaarN bhkZID9n+CENJRnbK3II62+RGXB+HwlyuhtaBw1t93tIDNOLv1HnnNLFR21Aqjy9QK8w66myX0sk 6NvLLBgfjZOU75Qb4dKfhm0Gqjqz2H7+QqnAyfxj/jj7s6p+uiCP51ynH+7ycblphvggy7tkhebZ L2Et2w7FB8EZCfYZwM9f30c6gVoUkaqCWLFPhcjHhLx070N56AvUtyUhZAWrvm/kitIUg7NvrSrO rucNn9jBtPoCCo+9uPYAH2uG2OlAvWLoO5WNwp4wjpMuvJuWfh/X2XcUGwmJIRhOmP3QjQROm+vX NfCnFElH/Usb0u6VV9wpzD/orcmF3NdIKwBzFurRZzvgotO4VSYlgiiLA3KdfxjxJ1yr+KopyI2e i0KYLRpiKqibMxSWXbbsxiByp/Pb5XOr10QRirizDytHr4V+kbwoztmdfhfhIcJYPQW9sH/ZqB4M oY5leDci7srSbE2mwrDCydqnoDxZ5U+gaABZ92/P4eYWqmf0NjBa1Ld3gZt8v26QaVd98WOf4QJT uiboV8QzMyrdOPA7VvRjKhvxqTYgL3DVLzr9TwXSsrgucJLA1PfA2Z67HVGPWvDfwYq4iffoxdPn sPuh/4E7YxSg0Z7VcaozzTSuSlnO1hYqZ6CD/DbVZpwnvwkj4Y7+YvXiOlJa+KDCRWuLH0Lzi7wA fNwdmBno6UglQn2Eo8vAHY5ftEhzecztCyyBbtlCtxYyAPdda9ddFz+mdQgXLdc5qu4qvc4ocoTl bJjgfsmXCxPyhMCZ7lNwa3k2pbkB+jpyeapHOdUrR439jih3qR0A3h0j3rmDLi0fOUwb3ZIpJ44+ DKJqgB/jmODJQaDUtFyrw7A4WwJB9DdYOB0pbQ8KfyH8E47dJrAo+FVAG7VsX/Yq6NIzSU9o7pOX vw62qRB+/5+BogNyyNqlKmApDfES3/6j2KsBvTw/nSIkWJu1rakXiEWl125BWSNvAMbY2zvzDVNg rPzmVEE2OumilCsXQ01yubRvfc8DWwlngz1GA+XALQlDTKw9nMJWa5Gt2wlwWCigXDRMWwMJq87N MWb/rMs9QPG2FvdHAWiU0gQoRI86SG4qCEjSmTd5O34lUPa4yHCpUkBhA1KjmgYNLucNFaKd9+zy 6NaKdruqRcMQ08czP1bNXooPQO0DnvwIx9o1AgX4bfGeHxFyAU26DUgfjaqvOnxYTNnh3J0C1T+K /BooKDID0FBT21mYM6qUqpTFm5r6n029DT3LFlDepLo2QHnhgdSZ8UdPMS/YyjSUmFkZKjdy1i6S WNC7MKyfAwIicP3HOwdX9/XKSXHpNuSqgmMzMAbQnLaMUYX6dabAZJaQJjnpN3KgePM8uhvqAeOy 5kjbBEXefcFiy8tPUZCeIl33+sGKHkpTQWK4gXWpwpCDwkfFL+w1LgyAfw43oWKAQ33N9DK6UxCI IoWqgSZLIV2Ey+DCyKM+ftuJvlrRmQHDfdUtNpxiTo0O0FLq4EJkCYAViaegFKziY8Kvwjakq7kR 8amWW0xt6uJe9RdNXWBQXkz63pr0qf6VgYDqlKIxmCZ9V9nPv66kHTYWF4qxdowgm5YmryLFxBQX xTTwhlVnNYJs5ebbKmVIFS8dp2wfvvtzpBLzNF/PXGMA96e0DVMKX/DQc0EZO2QnmrkIkWuH5AOC f4Vl4pulQqs8nJ8w98Qwov8lYhBrYSy0DWsfRISaSyiGONyWZ9wBv6AkgnIv60XuDlThni2DuF0s bDBKSgRH53Bm+kXEt+iHZtaN031NEhjYqfDMHYG6fUaMGs/pRcmrAzTtEnvaxuBORvdEH0YDLZrA p1I05A+6mKpFJ2lB5RQLBD/ybUlI7Z3M4BqDVB+yy5m7ZZ69qv82jdgEsmoqV43aQU/Dk0e9iyav KQIJ3Sb3vsBFaoig3bAvmlwkuhGeazqiPl6T2ASEs+ac7b2h3nrkwCdp+Q5dukJwUIoXYcTcfUWl lcGY6jOjgAuKZ9Zw/aIDZZg9BlyPz+sdS+AULgws3eFSVTnp7PfQK/SUBumrkL1Y27gxOdzhvVJu coKDeMLlfTgYwgl7HshY4LMHVOR7qo3RuO5aYJsWhsASCtir+trFj9K0qbNraaaD4284I7xzU4+w zj/EkEUsxL9VlVe7W5r4iFS8rRlsDIGaY1F1DlrdTkHygVIaHb5sZ6QwucgrALm1RtshvI4M2tnz QRhy3Z7QYDepHhqg9Yh3/65L7e59svaVJfh4R9G80qhZhRwmcOHgwPrBMKyxX+oYQNJaiItnMGrE fJA9HNdFwWcvH5IkcoXPB3tzrpk3ZcdHgKq0c4UnuWBcpgz3BgBrBxGliAY4HRFXU93do+7UhESe r6yz5KgLPoX8C4eBH/pGzSo+a7oLRNkcSRFlimMWKxNMDpo79BI7r/tSE00Ein9SNByMeAeNyQ0c qeE6A+a8O8/gXyK79HPptl5wjL+FF5jwoxI1mhmKSdK5tlP38asgLOSxMUMpFjuatwXqs1jgrJfq C5qea7FIjp7MbwOzPuQ9DmQ5tMjLoCH0/ozhdlIAiR2fwIU8TdUUtELJwr2wFzWG3Riku0CkUR/D bQcts80/cSEJBGzF+F+1UwS1XNAzhV7E0Tf+RhzayTToBHxbR3k4IOz1GtQF6r9ZAubyE2ycyEs3 +kGNEMlbT1Uo4ohe6kKSX/haz7JMktHpbXQUAm2s2uHhDZwPusORaRwpPTPMccSSrNjORbKtYj4L c9DrXwshtlCF2HCr/AO+gdWhb7dHNdr6xLBfMxDcj2zZYvXzuQSpjYKDk3Pp2NMDu4WQR+xutcGE 6MES3vDt8EI2U3WdKjAUKcOkXyt2LvMSVPyGEI5hWLoeFhTIN09c7LjhmgyPiSSReAtf1l7M/YpL ufkYuP/iWkucZFJ4xuNedS+cwETt3WpKhHFmFhKi21HCN3S2P4dXNjy4zvsyX2tV2mZV1u8WsJ7r rrurKzDHWdMhQ2Zoh5jq6QlrU6fRt2VwmkZlRDIYMnp6CLhFJbNFZDWqnxnficCHnZZdhBQjt9wp Uz9gNDpumbRpKvIf3xUg8bu9GF6ZJfit93VZ9clWR17o2Q10Tk/M0SL3duzNgu3jWzKVvDoWh7cx 3q1rhpKT72U9bq0SP6OOZrVl8Ni0PrBrn2kJ3durzM0XuCgnk5snTHxTXdjcBn+qJrYrQWrVImXN 61bdbXh+uPHF3cpjM4YdFFPjGqdYww4wyzo5T5ma8Vsu94aq7FJe2ruBeAp/uiPWhfGtXTkBrWm0 WhHWdcmdd1asY7rgD10tttLlBHV3gTDaMA1td1yXvcIm7qoxjFPzp192lqVDKuXd00bv9J4Taffd Aam2L0TTeAFktxP/fa8l8bHw1oxIvYAKCU4oRIxKMLBo6FXjqE8O1nZazigH0aQpiAl4/2ZqLNoJ qdEmhHGllDI/R7mN1l8Vtd4sWr/Q5NMhjFkjHAE5h4Wm/vfeI+OULE37Qpq71wdEK58/YYJ79IwE LCZH74u4PQ0hyddyrSymOd1PDjopdQC4cvvi4ZzA6K6xoALZGrgVBkHCzkFbIhwFwh3CL0Div7rf ZU4tho4rVbjHOoCDRZcOcEPaonRKxygkb4q6Tbuu5OJyalz7xk5usw1qqUKcCZUf7fzSNo0/29No AWLFZUUIsvYUyc57QaZcudv1bwjZ7cKO8Z2+div3nzT5rY218Gi8MQ8DszJvfRwyObNpOCKEi/Sc 3OBOeyfmi7dD+dzvmVPeRa3cxr57SRZkcZFedwAgIXqeueaxtqGpBsyA1gs1DvYrflJihx3b4daW 6d8DRVdIWVxPQ30GiSilnjl0g3Nhm2cO68orXKwP8AnQs5IHqNgAfjsP4OAr8VnlyXki0mTUICrv WPaDYyjKAq68n/PbC9Nsrp1t/lHUntJ2kNAn/Yk6bdTR7i2aQ6JIf3S0LSymD+WRxh4dPw/veIQ4 iPFiC1q7z/ivegrP3iOxkVSLmJL0FOnvNMLf3o3WbEknN1GzDkNNc/U/hM0e3MACnP3zyTCP3X2T 3INe/NlfqzqSXakXj6WaWTLikO/X6abMWMq/VqEkxjCjlhihuzBRcAs/4F71I9TZm2RqnO6rW/wK iSuZN3HwgomAXyJ/d2160LzxCEjfWF3D2sBkk5FmrY1aSdfFKr42cfQvkuw5FDQoQ0jgWWz9HY91 GERmGkMykgVWGXYdM0QxYA8xO3HMyCYSdEXNKF1OpTDMmPT26PAe8tDKriioDqzSUmi6wSh1w/at DHI228NCyZko7gEniVwEbUc1rHPInCunPzYndYhvn/gYBiA3Chx84rMVeuG4h8jwLGWyZVO8rQaM +YV7g18ROsNU3gcbRUhhYdMlBtOq625HTpcKr9LJoRm21HNKPoS5x/uBcVFPmSXRk0iGtbMNftXQ AC8Xrwy2/3S0R/anWCTKYF2ZmLxHRYkWmXxcgq7kS9XniDztaz2/UFKgP4EdEpCNf8xIxbcJ0nbu mluC3S332uhZC8a9D1IqxZ1YKtbwrpTtUQ6QZFtHhVp0ic1xujDZPF2zEnEjopYkVOMxtBv5ljHF ExRg4Nx5K+sfnrKo4r0NKxmcp6cRgKDvxdkHzVSPJ1gMc0n/WcymqhIkKeJ9BhqDxSFrKSP7bSOY 2I9v0WARGAhrq4ZdmKyrOUwF6ZpSeszvHuAxm4kWi2+HMD7ms2dnctV7b4VAJCskCQGer4f1BGCM yUcjnHUASaub5mrf5ViSSbZx8teUZDyDB9zcuOj45BxLeRBSP2jdpwaFNNpkQFn/vChFQ9KqQ3uM 17ezn1pC18V1EVZz1jKEGLkECrlVvLWoDp3xIODmLbDGpVL4G69Kt3BDIQneriuVTxY/SPDoOV1E bLQrOplNpkX3M3lwOU8YiAEahQNfy58JPJHzcEHtRFkCAx4ExxXhf744nFQDz3hLRx6N0FFpQ1yD pYzECZH77kkcQj7xPgG8sObx4cj4lfBSLQ/ySvVKmE7N9U+P+HozFpuOq0NWfvlqoizzGpFdC1ZP ff96g2hZZIv6nqjBsYPpGTLT+1SABu/pIjLYQoP4in2d+xcBn6esTZG0fCIphu4AqrBZckCYu3A0 qVW2d2ynDzVk3aIdMi2Rag5Nn7ZCbYrGwrdomt8BB3ya+8jOokaRp6VxB8re/7otp8xeI+FL00hO GYV6+AJnBR1PNExTZ3vUC9KnWWfdmh3aHpOgVwJBEmg3jrh3D/eKEirOPyfDbkNuw43550wULjEC YjaBAFiTlxrDZsh2KC8kq6PCJSNt2EnWWmMste5wn78sB2RPVPrVeNQZd+Td4c4Qlr4Qvr5LDn7c P3AsskYsdl8RDhqpso2LYS8QQ+8nOeQnHxAdA4jFQcd7FAF5nb3zmAehPvRBjkJIgX6Fx7ObCaML /BNcNvRNDQm82nVkVWdVgm+eO41BlE04ApIrG+kK4QEGAZOheDhqrlf+DpPhdoZbutAGOr0n3/IB zTB4KfU9Zi7X52uMYADEqBrNJ894c7Bb0sCr3IpcLUVXKw9yCw1pVF20np2SRijlA+oS3hB65tiO xH79ntf4ajbZCedYUrLB8ZoW7iKYfH7uxdBrQQBKtSQMyYSt74qbdBk4p5ZyDmdrKFxHfFKVVvq3 S2o2CQZCAkHoxUjJ9NcqJfLxsPt7Z6TyNFvv4TXaN6fdnNYVci0kwMLMh0Gjny35kv8g7Ay/UyBB 5J7FwOZ/FeXFolJssCO7HRNHZqmCwznfRJjsO+GVF0K4c2WSfLJtvbNgx5B/Euq56YYb4NGlZKmf M3YNN9BDOGnSb8FGMGeHt9qJI5O2YK0Fau8EHwxig3JC1YWyJacGMpqzYSLgpxS/9iX5pOIpM2Qn aHfRI1uRYRdW+CjshRJHenhMFmXDp+bWkk5aV/zeSIrKn92wWWnNDL4xK5TPh8SPwBQ7NOcipTGl UvvBJpNYM5JNExYRmYVcP5RcuPwHEKBSei4SiG4+QF87Eq5DxshcegL47/lCiFw/euZHSX/zKWPY AWiwa66n79Bq/sOquRrPhhxc6/o00rwcj0okVqW+A3gGXwhmrcD6SZ5vQZnKTS7LNSMG71Jhc5BT Rc2FAw9gDiBcuuM7mfJJkVrM7t4+zGB7d7vB3y19Qf7cJeylRXZd8ItYsK+aKtCUQsfjV77GaU8q yRQTlAL1lVcs30Chua6jLY+ctnuycMlCpcuR+n281JB8WN+n4kT06YjmOkhH/gYc8KuOIMrJiPma AA7XpbfkLgji4ZXLUTdCpf+A2yB6sx70EBND8mXPvOVblq3fceO1FTlx3Qg5BzSQAj0yv/HfCuce yZ2cn+AMZtBhIC+COPoMSCMaBF1YKAP58+NQLqqfc5cSF9g7iGIrC/dIj5XoPTDbBD9MZGzRQTLc xHjUGJcMCdHC5jXcXUvh80c2oRsGB385t9Ez8sleLMlkrWG2WugMYa67LzBNm52uMBdbNhZ4N0LT QO6mBa7oVO5CaU4VD2X0Xaf8lXkc4Fl8VYEPcUzOxAM1T4MnkVwnNdHrUD6GRuYDjfHDqynH8Qk5 YJCV0ZjTP3apmyLWje1EagmGdnm//9qpSvgGVA7OiOoa+rFjdwAieH/FfUL5D1SrVDeyVrKcQzlY kWWW2kReXwp0LmmIx2Frl6jGCU1biG/tdy7j2fMgqbn0zxbLiVCApujl/J3IYKhknUJS6wOe5ur8 di6+KLQdHE+EtbVv2MHx3/EIDhE5FxnY76MY9Y6W6dcgi4w57uRoyauKdsiKuFqV9SXtxM3Y0ysc BtklOqx0Cby5bt4NC2bYnRvxauZIvU5z1T88pnOghv1c0GigAUIZXFylJBXTWLc6Dwmf9D6AhZYd OAj93TlRiR1jU5Eh2KeS71opeBotzcnAHdituBYW8oeLi3bYArf5jajm3mpYO19r0/sb2FFNuStC ilEglA4ngtSxhvO5/4VDzmRW4lVse6A8fUg0f2xZyQW16zFIsZo+pFKY6SByIDwaJNpMayehjEHD RwVvdMlS+fEA4LvEYWbEm0QLsFUF1ecv5eqwG4pSl6eScL0e4bIW74aw22E5WpQpx3ykF0ZJY9IM GYQkFnAl4lKE6qRAPY+vEezCJb6jKNVsBB8QIqN3vdCiHuK9kKLy1is1IXumF+DCj1cSnQBJuD7C enmXAB6KE20L06ZNRsR/bFXQwCKvDvM2S9jeo+F9YJuTzA6nI0sOOdnS4kp1ZzlfS75M374DOvtm ZJhIhNV8B95cmy3+xcTa9x86bK/V6jXLNKUXRggmFX201rLxAk9JhICi5dahSOOqMnbsVH26wt4g DORKAHKLZASxNmM0osSLkl9iFnwoTbP9fX9JB97T6QL1DXb18gvleVRFUOjzGwZONGfdp27eCaNW tJaQ3k5z77Ts3/4MIBmueg/7fW+mD8HNrvHxIZcMMnhIt9Q+cKZIC6TJl4lmOKvDXliSosK7HBuE qFJvWOHS3eUXra3YSoOEZEnk9VodNe8rOsMWORuxfXOPRSpGHy3pCFvHSwcpMy6qsZw+e+v1lTCZ IEyacTiewflGgoptoRakU4Wt6hV3CwDlJrqSc3xnWazbZ8IkfVqcb8mqFCt8uga5KiSDA/FyyBGF GynVNny3OMmOODwMewHGX681PALsw3PFf1OuojwT970G65pusRwZSTE4j71Khd7JcRLWHBtciXtA qv4p0Ykl8v0qd6selqXY1vPUoQmF47Cy0WEgfuVQas53KWALkfFCqWatUiHwOBuf/66ionldphRA 8CLhSdARKesSdtVTUA1aaVASBCzgJ+jqWaA3gLzel6coWEpeI5s6//2ZQXs8h9f7Tr8CO10z4qVZ 37SbAxET3BJcm8YH+VnxoAvE2Q/GfwriFt399aqp6x8XdJAsBJNbQq4k2BY9L01+tfhF0v3c5ipc Nd8R0z7tSgdzcFpp0yU3avtxEq83Fcg7NqjisQ1hxr2+gX736jP9Rlp74R5QRaIm9hLORFLZCmaw QbMuFhWxCY9iPXJ9+mFV6EK4Vc6izFp0O2Dw2sMHkwZ0n1MZxZjOLpppGl1LI/bSzwebLp7/YsT/ rlwOXi6EUum4iFQ78FoUPAcZVQBdv9qmnXQ6OahscnPF+2yhHAH1GniiUb4HWaYtG2zE8Vj+DEs2 cDLH4yFh4kbV7oyAqvTZhxbK3fctAY3PdIq6neBqGdQjne0E+7scd1SehEcyxWZnkUCa1luGDXzu l10QYbE+VQGA57WQnjdVfT8/3mBQQWcxlPWM+0mWTLlj/bCW9P6nlRXqXV/w4v5tdAIwXUeHignU JnmkJdptGOK6nEsDNCXwu0SYCebq+URrZ+76ngJ70dWrkFmzuw5B/kigMuELiqXdgGtYDybbuMAO mlisZOsQIpibYYfjXVXABmsgfde395x4yjkmh6WMls9jNONybvmpu4Ij1oY3YHJOtp4n2OWbSIWT ZRmSxRj7WjAvwROKbXgR9n6T+ydd7HdLX6S4+amy+M4d0vWZ+INPi1fswp3p6GD/UeAOCxludsLf 9fba42LUUtchG+6wMxUk8TdppPZnQ873pXYqjQj650vj2UbErUImYa/ig5QUzIu9b+mlMRqJl0GO mD3RMTaNXe8WATJYg1Q5sI0HcaF4ViLhmiVSSU9RrV03hLiRhmCuM03rOf8uxDWY3ei+362s+BXq +fnQoaD02L2mMCoCmNV5ROqb68Rj7yMMEslbHB+y7YmQeM8ygqad+u9SVYrFrOQcXlgiOiJo10Oi 1ndhQUwQaYqkJLep4RrTS/+gnk7KWMNMrAORRiGxUuY4e0E04Qq5eVDVlF0P3zbZewZQlDDH5ulL wFWjYOFXp5LnyETQhLIZ6dnTxiHUOgpFjgjWNQNNlItBxCPoWh7jN0TUc+CMp4iFZVcfSkLTsr3G Lq14k1kkNgSgRmPsaL6B9NArkmaX9w70sPZfnlWaC5w1FjvvwIyDZHkxhowU2XryCA4XF0rTnj1D fMzVETZD2JlxllDD7j4NyKMbBZuJm4WLOgdQ7phDFAZ04ZnqJ8/xmbpQCrEeT5yemxqS9GVMhnGT kkCDAY+BNTxBvgQD6z7nDQUUo5wkaCHif8P2a4AZNw3NSmKQQX7ab8Svg1WBUkLGGIBokNeDn/Yj IOd/27fCLhaDDDeQhvt58O9I+HZ/z+NtCHNRQ52xRoI3rVEWjW3R6V5TqkLTYC75MkpbSI9cqUn7 hCVW+3SusEi/Fk9dkM4c+cIT0jYqDWg+kLqtaWlUioOS53X1SWpJFdj0vtu+GFxvQ+0/+jN98Tl/ halmWuMlLLT7YCBXDug1y7jOvdpWk1d+GKHT9PFO8FFLCdA+Q0D1cY/A4ZVSgelvRqoEVoAV/6T9 FGn/9ihQxAyLXyMji4E6WC2mmzrfcdaZ1AeUQPzn5d9ka/OLtHM1zYZmy72oWXjnINmU3APzQxIj rnwYUQGPvTE7s3MtRFDL6snJnGk6Nv2zNTAx07c+dsaQncfEqMdWG+VUdpxNz4UnBQA/dk0k+m0E mAqLPL3ZSSpn5tFo5QW2YvPamJ7JrvI1tM2cOObEG3kK5FYy+HJbbY7gawgSgFwsQPahNJE27M7O KkP4XMdG3w9yboIvwdDQJOSn0BcKLtbX2HuhXy8cgihJWCNoWNG8+kz7JnPvPv5yuTss8SrwAnaa hBr/kkaNXQNrq3EGLpZKb6k0PRninz8ET4yutTqowzfKm2jncm5TanmChKJAZm8O3ecGdldruQCc hLn8QEQlpapgQhE8qjz+rdhVS58vZ0iWvO14wpuuqi9YsAm+VO84/xsRhpBnPOu53pwfRtIj+txB P0d/l1YoR32K30CS6tTDjJs0Mwj0Cn1G80B0Vdl5R0fWdMu44fWsm2TBtzEeu8VwWSlx8xxLncu9 UQwnpNEHh7tJq7OQeIXoPlJVmSFX+4anxIxUUKwGhZqsjUvXJYhVSDmVUGzyD2CPcB1CQIg+jVHh B/C5Z7+nyJ8pPF6BI+dcHrNg5eXYL4khPyA0NNXEWZjRMD4RFnfWjW6JsISqKEarhWg10eA60JZP nNJY4mjtBJPvoD5XYIZv3PySXxe2IsSoBkTkjaKrCqgdNvvvl1atarj3Bd2++gLHrVcLO4Ar06hb ut5wZXoYPtpFMpjcbYxn3M2XkxiyVYmZrdYhMpZHBdqahRCmYwUxOMm/VDveY32WmaupKOookLei UHRlAjqtVXgMv3zSr8X5dUtjBqM8aU8xtzKjV/8330bIToW5R7VXKtQg6I9rgsvdjbS1+mQ29tkT nfWPWAJNi+fdvhtJEIwo1jABQ8vLK5uF8V3Mw5btLFrm4E6Q65zekYo0BHxOwJE2orO7/8NFZLet f2VB/Xe3B+uKaErGulKxfUQks4mv5lgVS8zinz9/jIvgCUO9K8YasbDfaXjDzJqD+fZkAXmKyN9q Ui3kaL2gedmRYdYkiZrOFFVcGo9I4dF8q2tRlI1FMmHwffIoXQ3JlJeedbhca7UGjX139vuFlkp0 C2oQvCNxkw3+ChlbCCkV5jGGbQP7rnQ+ed6DzGTVw4z1OZy+5+4/oHrunZvh+JYTgTnlK/RLmHXV yPcce26kNVSxPKJCZJPCTO2U6M4Wzv6puWuTt9KaZIsXVIDrnQ9Xx2uvErK0dwdcsVTGCZzZP4Yc It6X+RuTj+2+0alyD9rLeU6O24mFik0ukmlRA5lUX+GqNTYtv7/70M+GQ0o5Z46Pb4gOrHotRigx 1EvM2UpuLZfUcQFee6E2PZEpjB9UX04k6M69yOc1Q3BTlA0X7zswo5CXrK554jnaw3/r3RpJMYxy DIBrqtgPcr482x9bYxNRCc/AcYPRM4PdO9DDkm2KlDS2hF0nXpUUX385nBQcR/RtKqFSnvc35JWf +OuPZUt4+jv+JjrS7PwZNJXpekpYns48emlnmiVEZcgJHqOIiGmo6EWpZYQQYt7rnUI7ybLMZVEc NJqiYGsl4J78IlNH4/FxnYzvnIpnTjPqZHbknOolaKTQFnHELq268SAYZht595Q0ODOsGupviYEz vqb2kOSvTpI5agxuUotpvhcQluTfQcAhxqNNoIyQIYBWXgF7mUgAYlTjGUtaIgdRIjoSlnnpoOVD R7Yy3gGAykrShW1oRFwWMb9V1HTBBGZA9Ve0BC0L7UGIkKK+n7qknxePMW5aJzDmzaMkf3liGjNc OwusUKyx9PFE+wdg9fI66c9RCLK4BhqAjF0BkPDHJrvM+nAOQ3Ea6uPgFfFpTKZkhGxapUAkHce4 cPg9TUTLp0vx8ApGsLn6hbmKXvxptfOFHmHIIoWcVAgwUnWOHJlgGQsLHEkHHhyWK5wslBZj7uYd HmirSzi0KbL49G6DtJigVSwlrU+NGVf0ueVsSKVIJwHOJ7mj7KBObILT7MHMa+tNU4+90o6JIVQx qjkvrF56HwGGRjK6eSL66eRheivsoheDi9ryiK7+OPatJG3f84UCtD03ENovVR8MHVEDAg3g9zi+ WpT+fsNHnwaP7MxsazrgXo3odzmvbTNDgr2cjOLB1/AaBIM8EK4iSaRaNIIplNwLHAzugPZ/LJyH vQoRxazv4Aszm5voTPgMm4iGYqSkwwTf2MEyX1NKQHZIDYCxmtWtwoS9/LVEEkCkv1fViksxpELz Z9vsHJ5m271ElvHpU/GgyxVae7eKTLVXCVSL21UJu/i1Lf5VFZiljFUhvTOhB7L/er9aLmZqoQEv U30Uxrmwnl6PdUoyCYQMHGjqLss9wYhesefNUM+UsHbvPXOBNpj03Wd/4pfjrmG8XfMAcJk/9xgv 016JPbZHRv1JTeB68MjUq6cXlF/d1PwNWv5j4w9A+HNbxz2fLHkkFZc1P3w5qB5xk53KWiz4Fgsl JGIYloz4/Mp0e1txeOzyh6cTFqGpxwGlrUrD05amQqYedEtNvkTWCyIUSBbhyJQ0xZVhtj3w8M13 /n+1OMUzzcqZcQaMbKvWE5zO6msS+FnYieU18vhI/FEYPLd7TLrSNyARY790heKFvqqrEVt5w85A q581JfpJX6lomd8DZjJXiJhBwkbEGjiy5Xg446Bp1VCYeQXjms3IOv+Q+pzMcFsaTAhfXu11k0y+ J3cC40yAIimPWBQXW8pHPC/RoBPKacbpy5n9qNIXRWZw3sQbTvc5ggbI4ebf7oI99Hc2tPgUmala EgnbjXNYyXFAgRykc41k5DqdyWD6+Q/DYDej6VdDGusk28LTpIpVf89Q+h/m3UYOiHxXNOuGa9Kh wGsDKp2Ek1+nZuq0ciBeZ0QMsjv69H+/2pKfkBM1eKrQLLyD32QfYNtYGNHSCi6jGuov1d9wp2MI GPX3GJoSg6rBPhCLURuSYHsoFPHXbvoeqWRHF0HJDkZHhEMpI8C7xGWRS1QZDwjdKHs63rATwxw+ 0zG/bQzh8HfTmjSdT26oBCuXNbBHhUfi1/7MrAYY3T19L2A3zriFpLFFZ90MnHm3j9RaJwPNlmd0 Cp7XISg+vtPpFXDoNW9EOnenqGfhbs1dPEgXp4S7qIB5Tl5rdmGvt8UA5iS5H8T/62xBq/FhzlGu /x8pgWtbrTSbd+8WyIhmpmX4Bl+RaJc5fQF9DeRqPzD9gCldu91gWPlTYXKbWYrojOtVS0wKJF22 cJ6H+QbExB+Pm2uj7jQoFqazaTCJ8TTEE7Ij065yL6gd8daV8hrll3mR7nAPVLlUtxYIqz5KVBjy H5Uo4EXMYY3acsyBnSNh8H9e2vcbCiGMwt0WXoRm28qOVKiehhW1FNTG+MoKuGynxz6PgdwFCdIA mNwQ2jTY6gfm9box4HTpMyH9Ds/ICIHHyQNNYWGx558D7bR6olwFJXN7RoYzELsgIPeNJ6rtHgRY 76VRQ2JUhbnUJdFRPBNfWiQfWbLhNsA0c/SOr90WBCvv+fr1XftIZGbl32nv4JxhDYZeywOCQtKm /8iJTUGqI7CvHgVU3GO9GnHdtF05Inml45w52Y6BrI8Vipd4q35EIgp57r/MD4qlvl+evvRWiodg YABqQ7fVEFuUVkYOP0ooS2Kl6fCa8b6Kw3ZNhwBNQFTf8LEOP+BtMLGyFSOSjUEZjeF2+EddulcS vIJWY1PGaN7B9+RKZLb87OTRQiDaRzsbrlDp8PaFbGiJM/UZBxFpckEIuDm6n51DCz7J7ri6LE/6 BlMXGhfsOKYEYAmzZK6m/wRxCd7urn0Ja47K6rK6NTjEFZ6rflpQpENBVtS+ViUehTltvS005LhN JrHt9qUKEiBGHdDGKJlK4D/s/H7quGpxrxUAbavIcsWwDQDw5pLc/uaA0iDjXYHIZDX5pT/uMQ9Y LuCvZ+8lDQmEKPHZ0KVS9Hvu2B72YUZM4wgBDjyr9gF1Na1R1cMpxOaRb7KOA2VBmYUuxg5ix16L CKoUDcf739IOA9fPrUKtT56k2ACivf0Fwjl+asyqMfl4uTfFvhYdWglVcjCDublnKVrH4N1CoKq3 qE/9LL17R6db+tHfxadrgln7+Eh1tu2BsnYAOV6RX62WWDttzGYJ0k3PJIJyBveF65nRg7a8enOH y/XRZ1qSPFSdUm4BMvrbIGWto71yvTpbTTCZJtrCWKOYwrFOk3toebtbWmBRi5zIlTZ5aeWnabFr nHME1EHg1RereRh7fun/1s/a2mwrRJyPNQTLY85a1Lghz7sCKu8VqYosPKO4rp/Qa2HilvbiOpR4 +NJVyEddrgfuUUVEZlq9oGgcdTpji5Djww2yAwicUIA1i+VXB+L3N301RfX5M+a7qLqXVWvuBtOU 8r75Q0Dy9CU/cUWrAL/WwAyXA9o8vpDP9VtNJSXGQPzLGpidmpfUOhZqs7/dGB7iHPUsePm0xiEG dIZDQFBvgCa5qt95RdgflJHl/R0qFqyKqrntD4SCJe6N5tuJ+EDTkfiBAxZ7n4w6I9Io6Oqitcwi h7NKHqmOEY5LhVLM4eNHKhh/LZ2TaQ0AfKsVajz0HQ0Xeiq8sWL5VevJwlE94xo9oeh+ZX92gwUY n0nn1hk/iDZsaGsOvPAgLhYJm7Ko3CDPLGpZgnMyptiKM3uk/ZNUv1PtdhbdidUZ2nziX3aU5yEs mqku5z96ZuBdzlhlub1IzKnRWpxIeMcPqs/Vi/lQ7ohrQXEpfCvwILD+Iwy0IjfUaEdYKGBE0JOG r0veleFn+Hsz+KxFVz43BcJCX7+zisBEzY+0cW/35bMSaJ98zW7mwQ8uAth5Sx9q+5PM4hDk57bb HUT0j6u98PC9OQtEAq2GDb0RmLo/DECH0GhUXejhGqSx9WDeIsa4URM+cpvJR9J0gKAEMY57qfbX db4iwJSBMmLosktgeu49qqIYmSyCF1KVuNI4mm+YSw+/qkmGTFZuvxEGCgrnGlJu0+Zp9dJ0J3m4 l7uPr6DOsPuZo1kfCPRA1e4wlvaJhkTYjogslzFG3AYDAxNkxBQs8uDVCS2toVNTtg0p0Se1FkHn kw+Re3QvO+wK4UfASFIHCUgJJTKFQH9mLqvkY7GkPcUGjR/2ZcAERDxQQ0UtdDA0h4+6CVvSQhA0 HLwThCHOX/jhhKHsOOO/yGlCZ9KoXWk/iTv6uMXqDi23w9Qp+V0IOzDW7tOx0v2s2nc4ZBwpRmMG LfdrgJpJTwrkrrFZE8nQzewgGqN0m9kNeCdCDNH5OCjGMmwbBHFLQA1MhIWkcZHMTgNmiD15Zcm3 Ee9k728cuDTjfaYMlWV+xDDNzBUFb7rYwmOhWAoBZzi87lC6+4h3nMfzuuSyWalcGXmngo6BjX6S siav6x8itFi2M6gFKPpLEGdDbKfum/9S9KdNIENiVkDf2y4R3Tpif9w4nHf8/5TMQKqDbhQfPjZd zRnkipNR7aoeCC7m0WQ7HHkKxwLxfWqEpa85CPuWjx9eVS3VZeyY7M/kQtlYHuFXIqiQhnRibfmX ITbobLBnH+Jens+/GPL0OvfMIhU0z6kyTwN79mWPXinhAfBn3gCbEjBHP6nNm5pbrnveKeb1/wtw AZWijaLDmlxcNpME7yJbhi0zFwIJVW6U0Zwlk1gJ6GjaUFdcnLZgDi5zUstblMibyYNvPQoW675B o7h4GWNTbBwQetZekW03yyp2O9SwCvWorwY/PIh2zQK+/i2mmZ3jRWPB71OqKCDDdNbbMHjy5MY/ srOMxSUnbf8hyNACZDDx+T2qCp5DJCDj/G8oRBHWzIyCQcltjN1HcweBK542YsZnoL+V2bCFUcdE xjwP91c53rDfQZ73g2KwzYNvM0ipZtdlNGu09BPANr3UfCSR/NKkSLPUHBib3b12wfmm5cDY7Asy qH5uXhH2D9rgeliUkI7qH04v6Bqn0w2XQ56oPVI2wLzizhES1OFWJ91N44PvNf9jFd11w4Vz76ay hOhR6P6m0mDeDjtmALWrjVRCswUHrQSWEYVVPRGqAxphDGU5UfQ60VYA6NAW5dbBkiVUxD5UX2rg SKdfab5NHkLuee+eBueutFHp1oQAM7TNqsuzOMlAvEJk2vSNp9SZGiUpN1gUxzaL8W4gTXBVqrNN juQF2oVYOyROjER1C8Ey7eLDNIX2BHg1DHtHBcTrXtCtbm4cg0ceAITxKgoyartFGOWxNW1Fe2E1 U3FqQ0PMC611Vlkb9CUefhgLRCeRh1GPQcWwaaOMfe7fZ8cFhZjIy0YKnOvBEv6F2hkUy0l6EcKo EC6qR5/k5sw4x5EeJSGpz8wfVx+J0LtKB0ThOdeTx114jN4ySQgtg2evTTETDoKQOmRjolnFS/5y 30RF/Kz3/4NGL9d7CPP3+snIuG6OrqeWFA6TMtK61/KLjKhz7aYCPoXgEPjDa84jhbULpzot6lo6 6sb2FV6wF96Zf/PCEyNTFc4FLDvhyifmlSrknKUNT93/wLgMF0A8iylkVuRpnIwUeHuMeMVogJB2 Simednx0apdbz8ETZxb+kqNuRlD5K+7fAWorFP5q+jU8R16sxjbx75dVAu9x0QmpWT1/gDN+7CRS MiQJT7nE1GlWcApSWLwRc4hBN3FWXO6p7c0S5AmGIaQ0LZmBR/mdB3Keq4or/fu5mFpYQHnGdPr/ dbUXSjy8gn0VkiFtSuPTDGtJO2ZaspqydVMyDqC8GMOHiMw6QSZ+gciXoIp5pVbhXs2C2aySWnPl NYuPUjN36f5ByWJLWgjf4rP17bsIYF0ngukeaPjYGq6PTVZO7nukpscnlHUFTWFoxL5gsbXg2P/W sYFXr2k6DMGA9LPQuQf43pGdsMIFyOn2YJShQeaF10x/No79FqIlIveWuy25BqyzsuQsweT2eBS6 o6uoUzAB6QelRf6H+RwG+oL3eH74c+Wp18bXWgjDGovYZdBmuSBS/TFUTmD1UX44K2GbSbmcrl7U yBjKTyRbgpiFHYcEzZMgh19RKDjVQhEhr9mxZcwOAypGu6cixZuoA1eBH+ylcZR8uppoFPtRo/Xx mojdvvoOkiVqUVQdF2ZdLc44IO2oIjkAualIuo7uwS3IyfSYcLtMOuUj/gTynmFXZg97BgrUMiiI U9pA6hdmIjl9A7Q7/E4gdWcsTH/5Iqpv/3+fvAG1n+4cboI++Wjncke4AEyDSgaC4rUxiuDA+SMO ZgK4d49GrAyNDMyrYzOEBLf/tSd18QSmNmFUzJb0sdX9OxIqJ1WylMBlQmLEKFMYhDGpDlqfwo+E poWxhSyvqDD2rqGkewJyraYQ6QBmEfRTk5jX3zKU7kb9rcIrVa+2/x6ErqIne4OEeipcte7CFRfG myi7jZOpiUqOwKjBSoGu03YQsuwboCsFh+JZPb81j7qvIJYz1DFokSC8j3L4f9TfTBuK9s3viQeN NC61RR6gQm1UwLbFSnf5OpN0eSD3YU3otI98DFfJgkiWyLutXt9/rY0Nm5qP9Pex/QXyIz3SLsFi z8/JFAT/OHCQtro5bCwrOxvGi28428jXvvFjrpjRJijBR0y15ftkW99qxiKM6MCr9CRVizNlaM+Z LMozNPmaAGSCpYv8gdvUgIL3JVNsg0vW51k/tJAYEf529g699oti2uh283Av+w1rbVLE8XeDRnxI c3j97Lncc0n9n7vSvDt3PFKCXQIvNY5v9cbfWAvOft0+9V3mfOud7OuM5bMIvZUbLRJY8XlZGyQn 3jdVd+VwY1WMBnO/LvSDXphka3ok3396RFSy8jixTXTCO5EeKsyKa3ruye0IRD/BoL32xsUvDDqE 6Jii46U5TjV39mWsLZAOUN9AFu6ej6gtbaReS7n6WxSk+Pzx23q5ueHK5yzJgR4M/W+IRy9RbYr9 i/FefXHez0qgAbQh482rDMo/pnNsvwkm2Lmh91TLqUR4uImCHoI4XzL7mTRWR7K5NjJHlikUN0H9 uWep7X5dOQfGlmfAH5UD00sYKLMHfsarWqgMQCEMwPsoyt315hB27o8VUkIoqcuZiE3lhH0BDHNB pr+xfSDxx1tA2qWG1r3yvNH9txQJWe4o7XwLqmqedb3CusDX4y4UrbT+SuOkceamnfJcYwnVvV+t WbDqnSZGebqDmUT5tMuo5GL2wrpoQjfnSShvWu//0SUQOXq6dbeMvh0o46S2EEVGM9KKSVOtVxj4 38ckQc1D0WdACwd1cyDbzaPfVVd/n6f57hxhV4VFKDwyWdzaPsFJlUd53ZFSBZK1E4BzYOdyS15E +6Pb94KN3weVw3sDKyGjPcIQUgB0RDOI7yH/WSkmhUGDaYwL5MTeOIof49y71jISfsIrdq90320b g5/tfmxlDSNwCO1LrY2fwncv3vJEf8IW4wOes/WLuuxsO5KMNxtHJlCN5hnY1XM8J6BvKCXKXjnV rZ9tkv6oQ2dMPh7Cb3G4um+mIEAcCERemRSgeeFbGXZ60/A05qq94/SmmUv6PD//8nCjFRluNFs2 3tOp5pQerW3KexSHeON6+XAF/gKNWpKiaxqd9dUT5BWXYsunObfhFHAc16DEZC1bUdrwxBJNCAXK Jo92GRkQ/qgTsx+HI3Md/R2Z8NTQnwB2dioNiXAQ9sBVdhGrerBV/xAe27mN7ztPf/+u5QG336wC FffOrAgmXanEmNbFgYfw8xkpx1py2gDEHiWdNg73qRtsOfJLPeRuj/rsOcCE0sMR+bfoiMsorC3E 1H5OjlM/uA30gf/HS6EaEQh5DkTKFSf+HAN18yBSSaPdfY/HX54X8c63e5Nqn7BXaVY7ixK9mVEF BK219DuY2eCkrPE6WFBd9lL238DDzLEJUs40veKU+4VDCGU8BX8YbDRuubwBjVf7VNyTHrnmSJnZ fYd86rbvhEOpOM2migSqbmsqSyYKEU9leFqcMEBT52BVnV40LWVwUxMN4m92QF1eN8KcdiVlqkYS w2n+9gBoEnnyi/lBgkA+vdcMKzDuirtp1s8J+a06ReIOnV/4IBT+/eBgo3zAdRx/szq2VyZG+GS5 zcA0ppol7miGT7QosiPzrMpRogtah2lE0QW/drB25wjIPF81rlxVkZwfVyJHEVKNzerBOwBD9Yhz t6IGCsC0NsAVMxenXFrGnCtcdclPvarqMMimeBXk/Mm8a6dsAGtPrwh4FJQ3U017p0HyuSkq4Rvf mU3n9lGbNNaxn/QwKM2oKLjVEFTYqnG8b0ZcO0yn0+67QvlJnfBCBZ7RR82b/1sWOT17wBe8uOf6 uFvhW1tW+uSNjOf5xdvj5Yi8JzIMzfhFuoJQ4viOxzxIDMihVrsQLsGsoY+mlRIk9cEqhRN2cAo9 OCq3RfbLCbkSCJMClh4MB7XrpATIrvDqpf3Q+WbkrkYBZmoSwyvA2OWCyGnHqWT9xVWgSXBl/Ttl xQi/w6v3KL0xU0S54VAVhpsK2gLIOub6aYrJ2DF8hX5uWOPKqWKajraVdXrOXEhyVeZQx4QZupRu ta9nNSw7p94/Om/LYbucy2yCLKpuzc2gBRQsUHrsy/8rl18T8+UH0MCcgiYCLLmwHygiQMHduBhP 73Y9XSsW4AC8okwrUg42HGiVLy2eVKYaGYZu9ClmJvnQqO/mK17ZW9FSYPTV21LUvB4zedO87ZMQ iqcRNIT/TvWUtDUqjZpoz6VRKsNH/X/MVEV/lLRd6bsSpy49lq8MmDFJ5QUHHuOLQhvJo79gl1+X F7wq75lkxwkC2AgNTcNtpIYTrRoDOiUQ0HC8Z91/Qg3Vj88f4rJKkApbtupXdCphLKAxrySlUQ9U sGYGrbBHvurgpjQbq4psR2X1xE9Q/Hsmny843oO/R1v/A3IZtiNDakFdDGHOR5uIvLZf1NQfhUFX YCDneltRm/3JP0ShDkuStER5D3CyS/Zt2jpffDj+kV8LFgM5hNht9lYDwnkPfCNp9eNVzf2YZgY0 xYftYTsImLRGFu2i4ekFgMOxavazpSlTWmQPe6d44r9DBRVIRDt62spIsnlJdVoprVlB97aO+HZ0 N7+5yPiCCKufNIub01fIj1+u/qgvuF+tEZFxNSWQSP0lxwtrVnbuXFMljQO+DratHZUUCDwvaY8L Rm61EG9iP2/LiavlrL/nLCrLQd0rN8MKdKtB34PEsEtf8gqDgXxUhyXO3Uvp8cnWDmY88iVeGp8P Ic45EDuDzYGzAAcvM5unT+f/XRmUlBaPR4izuPSvRocSxhFw+H0zEdfzPoWi2AiqfkuEeX9ReH6P 0fFUoy7YJB9rAXSqmTOTporxsbb4yX1c7GklcDZjXADqrYZ325fHFbEZxk10dDKrZiYaNjH3Q95f H7GIXJRSRF5ukH4H1hNKW0mG0jAwoKK3GD9tGdgwc3LpQBtk+0qaG49yBIx36qRCLB67vfuXX0p4 FNVHW8WLd01zKKqBnSeJzbiRcBkE0vTNhCMGOD0JtLRpaDwWTAbIaagV23hLiA8oXcUiHZHC3DZM 2q9ubX9D7giux2MQRWGRfy2FhV4AYugKV9Br1BKxKWzTjG5RIU+kFg0js4OwED581JRZXwj6dZVs ufiAsYcu9H44Ma5SWFp40IJtShcR4zpCGWAPtlfXrHk2iZHlzj+p12G31mlVY2XIVPdHIRjqWAm/ aiECV6fIxEaF8ZvemQdwCSXo9jTPBliPohcrgAWqa2DfI2w0WBBhEuWvgiAFIiAeFVtu4Le6Vamu VHtrXheDY4TNEgzujZLc5PTsic1NkQ7FpepHj20KMjj4l29+Q5dIPCt3ujk74yrCIPzY2iBtFPnM bRfBGM7O6ZBco9TxMPGW72OifdGdANSV1xTYIQhbsZ3ZGrSj+kzs8xK8Hg/hoFg8EgEJeybAkwei rpvj/OIzGGbuGJLA05sgrSQAcHEKQOY0gOYBBxXghUrI0hF6ooGSRW4yI9/Ntn8OR1pvaRrJQxOp famSmJm4MYy8rN74rSyM3KA5jmxmBlgX5NnipAX3l2CDQmIjyMHX5ag9Cyf2A7NMoP5X4oAd/GIS mgIDez06dzIRkHekNXHiXcovbcH/2YlsYkc/Au7S3otqEGWW2uiThs5DcZoE/5q63h9JcnfWajZM zHinfmIYeTQqrywEyWnFGv8VnvqriBaXQhM63+hT+Y33/qyCjnNeLcq9Vza9rM4siTn8jDEZEj5k jGBBMT5FPQanunY0B2M+kN1Q1OUdiGSU4Ca6AwoOJhRmcD3/7iG7VT8XX5DqEwQINa1idzi5dVCQ 8J2Q++lY2W3pkQdFVs82ch8XIrzbPOGoZNpdHst5kj14cBeVlVJKk9KLtzbfP5tZ+T+8ECr/FL7B 5YQI6RN+Xk2qyN2XZmd27fXBpkuMVgC785LKEyQx9O9+vix1NYdweHAee8aJo2p4bpumErKj2Se4 2HCIh2mQEXPNlcnwrwnAAi7Pnb7IjgUhLcf6WBfJU09QXLriWL7tekPXRmzKC3bvKuerVXmHrZI5 N4RV42zipyqF1+b7n+h+4I+zcOqXK4Umt0M4F2sXqp28qRM8bACKrdwDquZsEzstGRAUQLoFknGi I9z+AToDJpVqYrleo0aKb79wGa1HDpAEYf2Pq/jv/ciF6RlwLU+CGuIJj4DUKRSIC0dg4izGqmu7 nVbx4uRm8sB3RAdVFI1imtckd+4+JjJBImFPkcoVc2g49EJlFWuZ000JM2fn3URb6XOvT/zK5FpP wW5rjm1DA8WWDPmfiK6h7Y3rWdESDmmk4x/Q3Tb3ypr31VXOgQppJZEI3XV+pbjwEX7awQEhXRuc qE9e+cjdsa30ODJIAbVYTp4CZXGXWHI7Zj3gM9Jra4wuSfN8Enq/B1rrxm4W6Kb0iKQS3tnd3A2+ 6Q0JTKTkX9lePhVBTJ5/L/Xnn/H+rNbkipUWfslPe5daaa73AT0X69r9CE/XeivPJGlh0nP+YdyA ADOSj/FPyHNHVkh5PpxIrqMDSq/1zh6CuhCrbx0BePTmFg++gBxbIttMjDjJeItwlVPC7jCgQMlH uRrzI0FLQBhqcAiyTMpyoIzjrie5+wuWNAAIupoTnmArHgX4vZ+XdhJ0s0FxaCfqcUKG8+j0RFmo RJgoTZ85M+1Dv+6MRYYHKv0MidFR/lTHG4foIoiyQxHmq7FMc9b29Fc+8h8fmUETY+GZElV6u2Dq Ouk1pXqaWLDIMhCEsa2muHk4ThVVIPnuP6vbAHNd/fltXYb+kfQ2tZ7wjM4t49GaxxmALTv6Gbgc Y/pH3JeO4rBX35+ionqeWPixHvDmyirekYAxOU3wCdjluSb7DnisMDWczxGa066YTUAcRq5QJYjd utdMTJuWYdMp7u6vo8W9Lode4OFw9OGcaTxr9qUMM2D3/6N1nLb5Z/U06wXID2wrAhLiGS/WM8Kf VhRbqKgvQ+Q2Bh0HxozE84BtJCZjsJKBSQMoqkancn8YX9u98jjTA5NBJjkYPo35QGFDki/tuH8r JSdYaiygagAntObXxVolrGQTQ9MQ7YYvNCQdt0gJWcr0ph3dgdRGw3zPBm+HxFk1s4STYanDhkBj 0XUz9Y6ybSeO0kb4vQzfoc8jeHAiQNW0Y+xyRvKKNYZAXlLxymGjYXadntzgEpO+96uo2UzGCuJr IEf0fZpOSLZWsR4ubfbSQgM96baactWcxdmOiuA7Qw717lMidvmyojBh+wIrcgWSmdjRUUvl2znA i//VE99e294Yr0x24WhOa1FYCLv6yO7NDTYsUy/2WbUpR3ief4Z5yJi/HOh6wkqs2zUr0psLjkVm fBxEDJEPk9bt0UGfzDbwoW21Wk+oDF6JG25872b7d5eVROsFF/4BIF7i45ksRbrS3/Amnhb4vaAe dprza/quxveB2NByq43HDCGEY0tINzyTV7N0jH2Fsd+pQMWDjKY157p2/4SMcnBZFUss2lanb27I Qr4YtgQvoN/D85RLEuI1qsaBDcjaYP69hyxtnbzGRw23YzFOpym6mYSzBk+JwrmS0CIIl1oJhxYc g3tpZ6wpLjy6FXs66ADpANyEGOOxuvKgfZW2/UpNHt3bJHDz3NWrh2iY1ApQvxwSzjZuDw38Qphi bEobAsEPIvUY4hs54aKWAz1mDqyvDnAWCaiS+8EdgpnDeBGcoZzmxBsavHBDflLaSrGPYI1l9/Hf bi60aOan20ggR3D5vkC4wbKnhjHYGeizP7ukbC7ecOWyVXFyza+55pVN2umn30mc209dBh9FXonh APun0FkwYk/DOjhLyosWEH/wrhAt/eZUMpWlVHtRtUkfbZpuVUVtjSLPUCzm5EogtKxzUlqMB9/k Y4+ibbeCIpG+J75xmquqLzXlXJSrV/yxor+ICUDlGAVtBGMCnnAMaTTOPzcIR85GrrSMSks2wGEL 4UKUM5fglTf/VLFUrkES5J+uAjc4DpacnVzjYOWj1tBFpoBV5Wx/L4ape8NEU6xLgpYEaZatyVN1 GT6RtGFQ5oMWtIzAeFep4Tp8OQbEpugJPgl15Xjf9NK0S+hSS0AOm1hC5Yj2D/hTL67pa74aCrXX p3vZVTSJNH3x2Uj/6BdoLwxqTm1R1Uun0hcDU6gmza7Ts4cknqz8gznc75c0HMfQHchEzxwr1lzh 6zGM3eKDVeAeUat2CQHA4WZyhyExgWdaia0AD85xURYXdzy/c8gNTvqKiKmuJOX+DGCYFshzfc2b nlE4K2EYH7JAwj35n5ypbG/aYNdtNGoXaRpq/PTY66hq4g6Ri/Z7eOIB3V2MrrErpuCLAGp2qdI1 tm9kV49eeJhdeTHM47i4iq9/EkT4Wfp+GkyBCaN9cfDAbohSWaAzlApeWR0I1BNBAoeP8cegU+2P 9blHitNnwRkpxkw24TSX16sP+JoreUosBpSznbgCPnkbgf81YzkuADeYJGX5WU/PoCfvnBB8w3lg noRHcSMzKlgp5JR8OOjWGhbKEW20OQ6x/ufjRFa1H3kcLi0yMmx7vOxPD0k//+Za71CYZdxUa6Ko OxXX1BHIqnnRC37R/qo3f+7Xhe0JgXejjBuOG9nVZlFpCZmoprMMDTp/cS/y/pn7oOXfISlty4w6 pvH72Ykasc7kqikw8dBLhMkIdWAREDvZCPTcClxKyoAG6oRl9om94+RIOhdU56TkBq1g53L/AQ+j vdcUrHMMUzd7KlXxvl8Mfj3iuPuoHYReK4307ms+8rO9oa1Ya24gueJy0pgOdwTgJ1tIsXtIWlkS vFMXZxr5gVZ3UrwU7h9PUWLWjWIhw/o06fIzI7snXwbxlRzVTwfNBElPXKvYwkfuISug1Yc98U7M uPwK0dHeO0gVaBRRheECcNsEqEFbovE7yFLnHk0AZqW1AyyeivUO9AkhdAi6UHCl5fVBvrNhZdwW ePxW2dRG4ok1PGF0cPHnbuOHXwGsSAGtybcIVJeS/s0XykMiXrrH/k8DZNkrFoAkRRu7dCAqAFt2 4o/+uc4in3dREoNg37ziT6bas3KC++p5nWB/VFrRC91LP8o3vZ15zDsfW4vZ3Hz0WZC/48D2YHGb VZW3RUtlo267O2zf1kgb5xFSjCSViEBI5tf+R5S3HmpQwTmcjrbh8VulCOBtdIcs6MLORin36yE3 WicL9trgNeXncLin7NRddhOTWNrQXTr/aXCkDcXk7VuG4/KaXn1D6da3NxwY8SZRvQws3swFCFmQ NA444PfpQmR9PFu8+2nIAfNgy6IEQxyV5ggnXDdApqH67WGvdKZGIjx5HtnJ4BWxDu1Jci2aJFQS yuNXfn3nXwtZ/2lCB5MWlWMQCosLR/0+GyOopnLR0e48tb4VUV6Nkt+FABMvufjAysnyKsCf8X/A NJk7tGwA4QaYs5boH3KiZ9hgSCIzrN0YW4b1H8jzLE9xxdx4NmGeLL6fYXns7H9dkheonMPnYgvC 6Ks9mGcQswVndyb9W7DhjTFPBkUCQHwwqQ07IbEShp1gYGthgHeNuPDJooW1tU0HCva5k1yUYkIY kExe7/sYbnQtM76lKJwgqHlHmoDsag20OPWe7yY75RcED2RCyHJ+C69z33Gliw2vaZ1yZ3AEQerZ MQp912rhoN8oToMOMxzsFXu6nV3F1AqvP+uv/rV1f/35mD2OF58icoUgCU+KTwGk4JYsrXqgsiJu r5D8fVl2dYUkETGK8e3y2MPWfbzHTA8oetmKq82clRuaWnnklqNLYj0S9odziMzifgNPIuhHkHAl Zty8MT3OO3wDl3fRp0kqlvEINukjAZoniM6MgAHsma/Dj4ecwhc9DCXgtSIUkO5svcrmm3jNdJxA SsuQNgkzBStrMaumecpN/W7dkksRcEXvCBn69gl5QPBsLrJ1xEclmg7FDhivOjpiTcBgf/syzN1S +8dUpi8VqTgHOMW6Okq2QnIvMj0em8fQxYVMh5t3a+AOYn2TgjOOMCptEsapyF4+8PVc5uN3EiOg lngt1wp9GfNTtbHxWTgs8VZ9TfeIq7ahV4rsArlnPvUGgCGWf3o3QCgQ2VS1hZpfR5QWAxhLECGG JVJVW8MQbSkFHuT8zmQA9F98MgtUEtxbYR1sWrl5xm3OdFubQLo1mn/MFmjHR0qrnK7niVsh33ml w5QcX35dqu8HJjTHqY+Q7Ey/5jPDGe10wFkfoi9sFj9wQLX1ZsUZleEYElPToQlpZFN5ij0bRZWY uS+lJKpaLK+DCRBgDwbcbTFqByFqPjvtBVPD+yqbwqZvLzSP6ZO0/oXv4fniVJIXwBAciHGGDJhZ +N4Dm++MbrQuqle2nVOws8jBizjri696Mz5dnnse9gg3HwhAxTxQJBSYsuoepBVI+2bQIX095C1P eJAsPQOs0HsOxLkDyDwyifcXyGy6nHqudJlAoL8oomaaygA9qBEdLjjFWInQEI3+0/zJkJT3r26P 71TFid2OJqka2/oImlHtMklLqowcooWr1ZNt0rxRQ69DPqxqA/9e+SOy8/e05DCVuSmJIbDwmpwF JVuKoonvpXZSuOoegYtHt6JGVqTJwMFzyae3gbxEEBULxJ69tgpxDbtlZvLl/DZv7F8EOLfc9h1R yOLxy0y0xOSGGC7uSMMiDrJ3sRzhT9JKB7vUP+kCesjZOv9x8MaHVDetAT6UZz/35wCDQHN6UX03 hp/3IlYeUuDdDPI7ssnsz8OVQAksyIEwDj7+R+8sQ6UfiROKZGiyfFoUkWnx1cUNg6Xsinz3MC0V DWzgxmWD732uUcKxLhDkUjbzRdL58I75FMK5s2agwK6MnxFFVt0pVgBHwpx3STbz/sSDpBn2wR0f xC+utgidOIjnVC+I+Vuu39FGBvMnRzZ4osO9EP4D9wzLX3zIh1MMUfy8/+NiVUKz25jbqNJPLLIR lEi/JAgRgCvmks9J/caf1KAmbAnLyUo+GDCVAO7vcSZD0Z5xZfdx+FlGtHtq0xoQjRnKjEdjfw4A 6m3Vwv91Xk7SYXqU5TZyqqcrYA745n5mxZ/H34SUgQTyh4i5VPpvrspDwyoFZ5CjXmCEmj63hpjT An5jF4Jv4UGO35apAXHOfppiQ45v3+g/lyPse2ChaotwrBa+jTg83rGmFvU2UvLeiIgmb8MwGZK3 3WY0sv8eeS0hfxnh8dWqtaZ4n9gx+e6/EJa9xayHqxrT4J5mAduG6OTc0f4ghUXmrG5OqFke2juZ FINuxpFMzntwi7s/AfQdJ1Lfx+7vliJ5NSoZDfXthIWb1EEcilcZe46Zmj6o0Z3MWQGMLkwF6l4n sbguwGE49lqtzO24/GVopNdH6unp2pbm9HQthPF3TZY71z7914rPdSsCQ+b9nJCRRT7DK/M1JS/c lih/YY1ASXBjYuRmxW9kF0qqgoFLeS3MTEPEVb4Z7WhTkOY8xtw3tnWwNJv29w/tRbiCszRXIa3s FYqGqNyqv3Q0lcPefWRJPyZRFtodDTpbFP/tl0hYHJ+kyaUU8JmB1Z3s7JZTcuXxzOfl1XYvmtZA Wb/E/eMqwnNS+6p8TjqBPNVi73LYcWdCDCHMsHyaDAFz0JhUrkRP5uiJ4PddHskvZoaTtF+a5G00 wlAeef4iXiHdAdY+yPgf6tBC4r/qUPQFT1lKhISBBxbZ9BSX+wLOv15IvQDawk8k3yH1GAmdbRwG u4mWGdAiGX995kNLe/IxIOpyrr8zUgPlkgYJVGME8/O1SE0Oa548CVIEmsryeF+BHCu+9/ek6eW8 uwI/B1aQzGT7pdQfzhzLHRXd8LvKLscknh+GrZcgrGjzarHWNH3XFimVGPgkwBmd8u1GCrM2/BF4 j2YV8qF6/QDMDveQaaHVjz8YsrnfI3dmToWlsczvb6yWq+xGmXw73H3DhOTXERqVFTr4/02n3kFp wB6aE7wkGfmZogpqkQUp9CBdHYcrWhgDrOd1qDqGBqZNxKLChjuSRqR6Fi5Oc1kqdHyEq7AkTj5R kivSv3SYuSDJ0I89ReOsPqf0ESDWuwZ0Jrrb5LNwMVHRFJ/LGyJaUXQXXHB2t3KXask0uA39w1zE VzA5EiFomu4w5/5zBVxnWQe781vAyZ8G17TM0V2anzHCqPeQ6s6FxKD2Yp+nEPdUHD6uTrwH+Z4m j3itI1ah+6bg0nmAaaT9xTRWBaP3DkVPwyt8WkqvKou25WhyjuzTVde1omchoUITcGFxccCC34sZ oZ1+/srixLFds8s4X/xi9uhadUNMlm3/YFnncwFOabGL3Q4vjlIEjVmnVtwdOuGSmlKH4cn8+WoG ZNttxLw5k3RvBdmdkzD/qS1JjhvahEjD+oYG61O1nknEd5042IvTeGobw7lPTsRruN3m2WlsLG1q Iz3nJEtfDj0qtadNY/ZhuP/uGzNg2/RRr9SVfpw03yLUkcW1Mb6BklmdMfwk8oOmb1FDchVg/tYg oaAT6js+6Cjw1j9erIV+Qhap4HteL03a11s2JRqppa85b4PbN4NRZGBaLNoGKyJaesgaVKUK98x0 jsJVz0Do7ntVwdAFu8db+wbHYaGGBLbV9URYcNBJRTalFfxdNKrvyTvfGyIEDgjV2lOeaTLUEJwo /n/hekmkRq3M6rRgKJkGK4f3s3UFO8CxzwERvXKENDCov8B7erWGCWPDOLkokm76xc7CQGXw4Hgh T6PHxBqaRcjy/IhaJw7J0H0Tk1vPNrx2CsHyyt/PvY0fHdH2vS07+bwJ4vufHPQ0/P0VrPL5l4w5 PSGbSa3fhYauG5LlN7+mjTLpFNDnMuHEG1tmWrfhsWiSXieuV8LUCHSSJUwMA0u7hMvUVDBeKIOg 1nBg+GiYWnhSSwLW06NcOjwQKUQVpOhY30Cb/lvywzCKYntb8tiO1uQd+uNBZct1gc7X5WtOAhED kJuqoVuF190fzLxHH/kE4JauZAwOO2x0HYpqQ1xIbL18Ct4EvKgNfP0sQnaLQAXtYiDYByoaqxvs nsZtC47yAitsgAyIc23xW+LD/fEhZkItj8ajHp+8OMUoMzvSqMyLaeulVaNiYjIkjAOEAI3vwA5w 7EvMuM7jT9FM5my1ZmTyQtXHOHrdI8BuSBxK+znhi+FF0fOrrCGr5c81/CVfdhD3SK6j9dqTEOKJ oRZy0gPBdtge9V569peFctANPA8x6/lOREpN74FWiOgfyEP05/Df68w/kytEcKQJIcPK/xEbfjx3 J6Jar8ydBFWJRTtPHh3IWg7U47JhAUEiJGQmFKtp28IWZjcPR48qDe2SCTKIExmricD7lXPvRUAv z02k/bQFUQ7Z+9L4CKeHqmg+RLEKL3WbqLnU/4PGgdU2txwoPW7K1AypByJ9cbwqo4oRaiJT4bem /fSqDXrZ8kA0zJEWPPRDjjfKYESagLjijbKpAAVRZL6lty6A9PeD/RwUx57WDLNNJ5aRpQvFkthM SdzNdQ9vn8amgync3Rkcw+0+NuNpJpmaXxOHTpF60iDnwmYW/YP07hKUFhvpgCZMaJAiJneErQEE 4Rb2iJrXh6SPjWhlk/SuenyP/DFYir3p7JOdo6AZoqaPUn3b8pnQFKGAwWaLIpsMoVXqZHU05yM1 JZx8enjo2IznoAlRDLPNgb74Gx0vU/uygVJYTg3J435XmcywB9lflml57EPYWu+MxpBfFZlwskSr CdSdvZrufU/9IjUiuZfjyngPksEWBRbYvqhJdagJBFG93tCkIetg41KPGDCiGb6OVg9nhEYElfcU xSAHoaeDkImlI5VPGdYLWPWB4+LYdUw1OkbtnhgeL7lwMUAjLsy2IZUwMpp9v+JsMjeXLFlTkENE qskNB0FdYL54K1EDLYmL8XnFrUFYOhdGU2UZl7vVbf+Zo2y7aroAb0jXWih/WM10VIutOBKnIStQ RfVeL3Ow1PzYSnXr7flCyiNUmr3xHF3k20Mn5RyAwkQtxq73a/6Vj9SnCUtOJ7G6aumF8upu3vq8 tpRgdgYK77k6LuqbedDz3+fitOyPhmzq4BQ/PW2Lp4eAmnIaj3bfwi9TX+0Hyl1pGx8qP8yTETRC /eq5uqhHMhjbjeen6Dsq4Q9BwvH5HNnWBc5DyH0cm7x+x1WogaSwhHfwxHOe2OIU/TAoLz6qZ5cW OX9ScituM7iP1IBcrScY1XhG2cnwlOEzwSFMi3CSfagBtHBsTtg6Lu/1WRqcDqlXfROwUOQieVTS 0qS3fYkxaEmwcIZxrkEcxA8CQO+lBaYXlQn0vziqNlea0FVTyTO+RUHPQAhEry9sPHH+7am9HTpG X32GZniby3DxrUfv/n7p9D0I5I5F9b4laUrODrkA8H0URd27/8pS9D39IF8lCA0CGOCvbBgdqrYG U+LstzMEKTY4CH3klvMi+Lc9ekmlGRhlmCUDoV4ubtyncz5g12oUIh2iVk+lxyrnLm422umXtvPK eN3bV7AbEqkOK249faipVufpu4QK3ubEWVkopcw99GxTR2T+3yQthz41t9qkXI9xBHLEkWvamqyL ZfPNd8SrIyX5jont767OYUp+uXJHxI9t6P0jQOvQkpycWgk4/UnKi2vrNwseyjnyIOVub/JymKdp c9xmaG7nSR3pWhd5luc19OsWmIpNAsmBetGEPnYSh91nyYELAoDLzYMUgG1T6HUZOhtdCWJtjdms C279JYxrhSWeYEMInVDSWH71QIGGarRkp83hOwwbLCvWe6wvORjJCv2goFt0B2liV8kJPQeSCm7R kK9CyLY5+j0SKY2C6nV42CNoF3y9w5CnV2P8Vp5uuZt8IGZFS2aXANY/ARe/motxnr/+30rODSgf FaoXys2vq9wGyGKWLiR9t1jN/7uFsyLYZPpLufMfMh8SY9rVLhQzLign/inGQzzJaXrVXWYvu3Yj M/2/lo//sHJTvIrT1jlofl6jTIdc3HUVJkYEIH0fpZ4oj5N6BZ7RiHsIe2Pygg3VLoK3QwMXeiSs SGt3cxnucPmsdMgYJK2Kj8DavuuudtUAHSFUpWMJsOPloRkRg4unpVsXjIXKSTOhhkG5Osphd8CL SLb4sbk4b1tk09C9oqw5UZK5gskU/30jRsX7WDXGjaaYkgV82M4aYTJVggViLpCOvu7ZcWx9NWWm q0cz3mvvphtjYdUKLShTZe2NZ5eiFXVYMBipIrL325PfaIMgTihs6vU+BvGe2tbnSY5m4UZd8EQv U5dKQ+h1D1QiZTCfQ7JACRwYmda4mTAxkqlvlpsMlulrjjJGdysghyfw/j0kbfrCwAemWEmV2JKg XBm9bI+qo4BIR9yg7RQm2MljWD7ul1QOx4fDEr8+GzVD8MLh+RByWj5pYFjkJG8YMGGW16WjRltX Yw6HFJsQt0mWx18kdPI9gPooDR3NJz0wxoN8Bou5M6vWM9VBT/VKu1bWCRebRKzuq++63ohrZ0nS TAmzySlzlgmdsF2b2dS2QGwug77haX1SIeh3Ah3Fu8v7bT3qgMDv9YtqzxQryH8ilRCZaoUDcMy0 oOZY+tEnh2vXXn2cpLZ6JoQpXwauGL5nrhWjkwCCKRS+YSU8DrH+sK+r/wfb79+0xL2ggZq1jF6w MLIjhNn8mWGJbu5JbZP/EQH+l7rGyvNwpCAUjFIhLmwBmHn1qhI8jTCbNVetbb8+TSlnDEuFqawx 2rlMwafWSQBRI2Y/eRFZMHVizW1l5aFN5R/j5SY5KEwCaBKA5/Z5wvt5iu5OhvRJbqKnPzYsrNI4 rHrCk7xTu2SpB9n2TWzalVOuBKVAARKnAKb1aE4XqfATxeTNmoz0vawUu2lm/9BB0aBmPvfNLVyv 474viiXkBIEUNLpXb2bF8BZHugMYoGJW/85QCBv+RM1SS8uQt7dwrZpZ+8X89armPJCNBEPsTRen ox7zMqi15d+76zmFCB2L+AlwSOX9AFS7zNGp4htHRL6u0Vwu3LNG1rXmLSguxJM59KGtOIDvF45/ TAyzjxqt5SrHNo/pI9KXmLtC6tx/bTSpvv6TQxuzl4c7ibgOhkrc/NPbKLa0zDsCwWvETKcPY3PG GT8+0haDRDMQoBTXwPzdUvV3PkNrNO7KB7UCnlWxUu7/auoByQZfcRyGBNNEKz/uZ5K7qmrhYHjn 28sV3WSGb6lpWNLfO1eVmb7dZuZEOyrbel7s3ceW8eow23qqgPUowFW+9/agydFUA/6XXRDYb0+Q hY8tFpnmDNhUyuTxl+ao9u3UJOo5MFAnM7pFmuFXCZgfZ4BmqeLphZ6jVDVI1mJImaxmdTeY3ods 62i4jSMM7X8X34uNZqTrmXVySXElVrsATrsYmb8IHPCjgAX5Rb/DQ4TAoDH4TGZEnDZ3SNobX7CT 02cZKmVITxQlFxnufcHDRVeSj96aYasptf6/xBH0AW2n+4VF8GqANJ4OTZzUL6CBg/RARmybUsbi Q+Y0EbXJ7x1RzQekQeudvPB1/89amxmdj5UpBruZhrSGgeIkUYqsyT+UQcMI2dOLQKbCg6SVTK7r Jl76dwBxdx9u5L0MG4iNkeeQ5x9oIOXwQBrSJceVOvm5Ghmrc5QjX2N0q/Sh5lzwhg4PSUdK0AXi 5HRoghxv4UeeL371zdmuNu0EzyJBoX/aF7WncEguwOkMDwC5IwMBXsI0EUKqI74lhM7sKaJWU5JG KiFfg4nbC584j4S3IYTI3oO9VEkTUskCLGpirlYhEC8IJ+FFU6HpocQpBIt3SMVK1ke447tHx4+w SNKMAg8QtQB6O8GQTSKaTDir3lWbQ90tpgVgSZG09f/0oHo/JBl775IKL26GAgrtZI3QmM4dRwes gaPVTl2GW3XxRbxnv4PGUNrlPadXmT62LbPuxz0dnWzX3JF4aPjzxCv6G+Ow+eTb04y1kAhwHEQg SIfLOmo8SawxHSyMOziDm4UXzpIWY1McL13xfOPgIi78PURBJo1unsvCzj0G45NHw95cOugwGGUi w1n03t3kU+2vgsjaQPLHjD607Ode6V0PyAbh7eGam6kE7zg0KK5pKqlLqzX22fMZBQAsvp9mJ39V ne4hLVEypg4hARv+S/ElpnW3BAfm/xqcshBUmNw9lg6Zx0TCB3cJZZ4DRz728S6LQSCfPka9e8pE SnWs8vssBK2z7pHU9wjx1xljaDtRsz7dUsvtjOP2CVJ2LffQCpJwnoKSyF/NAhrOMMzWaHmnMPAh zKK8ksTSDeNV85NilfLG3J800iKVwI+a96JADDR6p9O6QSxI2nOY9MCopOcnJsL8FBfsQsAEV9EC ILJhXgs0jOqw4vF51IKMR/Ih7Tu1iZw+Ac9n/P2IUGBjRYZNhrUPnjrSqXgaVF0bWTZJM0nSWnUV KC8AFlaiSD5YhCnXSe3pGbgOKdR2HCBgX7XDZWGadSO68oLFlJHCJQGWkfEf83QnvuPerZ+vIz4h t0/hE25griZ2sWlgtljjnpcEMGr1I9dOaHMl1S/WWdioYQF5c8McyyLj8VuBn4UUNQQwcAmFIwpv lqNtnTbhCmKVlHKo5lArpdzxhGv5kVu1vpp82FA++HPh8+re+WcOv/1Eubug03yCA+Us8UOxYhi/ 36GEnXzpjimNx6rmYY5apTqeuZm675Pb/SSM4s1T8j/OkjkNXiEWVGB2N50s7+R1nkNwbgTJr/Ea CkjlNkDLrT7B0g91bqKp45kRCHZFOTKa6A4fNy4eJhBR16MB+KuH8mwCSULOWoApX6oD/krnqbHy GnLOZB3c/XtvrMnvAn9SsNJ2dRVuUzY5DpxR19msYHl5RZObkMVPJlh0MhxXtJOE9qkCrRJPNBWn Tg8dvDAnFQpiyekXU5rBX9SSClupd9Nebkremi0TiMVNIvE8ggNJP6jhbNiUpWj2I4OhZ0ogDjkn SNm3aUVw4THRsQ3QgLIAn7UlFm5CWpcIlPEnWjdeApxCjw5GYwemNmJhu58P0hvG353qVnpce0wI 5RLPE7U7woEozBoyMrxHm/9u8u6q5894ft+pW4OY6kaLQ+Igg9v1ivRaoZGw9kso//LC9dWaSmws nHtOrYkYU8zjc6H59QO2TdBm8oXZhZXW+h7luTdBMQhH/pCUtiW3ncpw1dn/rv1y3G7TL7BpZHzO LBl2epFmXh3PpJhjbdKi2cigGuxa8B3HqsA8vDzrib2KONy9pzDAwki3mzpQJQLcISoZbepnPcGH h2vlOFMqmT45nznQNXNZmwVrBNS2T6hdGMH0sa2lfcFCWooRYJqBEHE10naGYp09GW5u9SX6jT5O /S5qaD45eDBBzSM6R9aHwl255VK1NrYQsljBhDA0z0SQggaDruUNIxEgI4xTz+Rzc3HUd0Eu6shL QRLRSxzCNb1/a52XEaYB1958zCQmOoRShdN8yXOkBVcZFjVWm/lfxLUU/Ig06fS6a1YeTTzvVxQw 4SeNpon9/qNwqKchE25jK1COazcy2yQMFM5JKWIma76v2KYKXNSK/0DEyxv1p/W7acS5TbgFmJB2 ST2SdBWoRqIUBZ1VcJsphb0g7Gb/+i9WkBkiiX3sO+wz3Ai5QWC98wL39EIc0I2IYqVdXSvFlU8v u0fteD0aEleHBsfDdBFMyJn7n+DkOoD7ghlpwAbns+3UM3Xdi/QtjobuLl0iFHc/PaN9QIuFPRCN xrz9O7ExWuhl2CPk/o8uyF9Lb3u32TJ5PBcsApWG0F/GWfHHzmMfREHW7bb7ISWWelfVpkfOm/X/ b3T0CkBDod0RiGKMcIorydj/vTAKquzj3sw+kz65gPlXT11tdwGRLC7vllUCzQIVuu4yf0osRDpE R+MiEAYum+7tIlnpXl/w9VGI7pUdP9MYY862m6ApK/c1B0xrEoNdoKf0RN70yU1r1w5HPxm1yB7k j2+yjJcWO2NkmaqVidzIxYsIxSdyPY7Mn+K7Nd3IDO7I1TVuSBFm546rjXVOcG+78wyxPd6gFZaL ZHUz1zAHRdQ8m2knA4JioNhm35HF6bJp6Tw4vnnpA2aglPRI5AmuoeQv9oXz0vM3LBRfxrgveWez gdZuVgfj2B0Q8BzE8AVaYwA81Flmx4JHWe8i6mxjm5y2VFXGNHm4m0v/0if0HaNLQ8Y5ZgY/gmkf 3JDoaDyJ1Gg77ygE1o16fIH+y0fCGgvuRwUTYbyk0XZMgJV7TgZO1KR/ouvBRCHlcRgv2dkfCzON /mOZmdkpx0opP1lXFWvD5ZAxPZWNQTUnu9MLefji389sCsXQ9xgT84Sgi5VxmCBNSggZku8Hh0ss KHAJHv6Q+v0E1F04h/ukhzyexDu6UQfY510/y0a8pe/CB//bqzRsR1EbYBmnflvK5VWxl9dqu7gt zMRszA99ULjkNoIeB+G7VOPuFLh6a+Db6sbhDAPur2qXRtDKpPxXHFuxg0UZQtGy0/2Dx8VM9aHe Q9jKC7PCnfIi8RZzMzzfc6YQVE8RcTxEe2ATyZvjp1hv+cVcr/mZpRIBuD2ZA4a+Csqp+wfLot20 QDliWR5/s/c8PVdgShdr/L7D6DP0ctnNYA7TJr+fJ/VvBnmlAlmMdpyrzzNZuWvem6JJLWtZPbF3 jAmRTrS7+sb5xXBsjq4SHM5WFGZCFK0/19L3H8wfDcVwb/Bfm/Sl3oKHKNCyiX3zw0mwTUoy/OTg ktidolmyLXdQ3D77r2baDBRARFRQ+mwm18FXgbCyqci+KLZvxbSGe1fOL7MSi2Hu81dS6UOTuWU4 i/VW2RDnqCj83YURww4CjqwG+fg5hmG/TTJR7Im0EiIJGDVeFVpzFHVfLbbbTK2ivTpu8DcCwUrs fo92DK3+MkHzD/yEP8DvtYQMKBypIR+00LccjU0dcvJHsoiDBKuw8c/2VuuONPu63YfDY719hBkW 5w+ZRs+adJdOWUjMkRlbgM0ZZ3hOyUfOj4h4RAcOVCx7Iytw12/jRU5hR5T6WZLo0Q37N3H27lVB 9bZdCA2rtuFCda/wq1rxBBEz9QECH//8VMuYk+WzNwBSiUCSkSy41x/mGSIXqemYi+2jrXhl7uH3 N7guKPy7ZLq2tI75n8wBlc7ZWjFJVw9VUM61grIagZ8gYh9WNowTMZw0LVrt0wjEhHh8SuNmzMhp rxrqpbeYZmoPqFPqWqzXaLaNmbTKedhyTymatvIAOelS1Byl2RIY5f8gTML8EfHcowL2YecdhGjY VDrEFS+nkKu9JtRpJtNraB5uwQzZkRrSvWcCBPJcF2Jo8p67s/undvNWKJ6m9ZfuLTIrgsiOtCtO yAjTJ7DvoHzFqVJBSTDgAYL00tcwZuBIHruI/uYPzWeFr47xT+oFkbO0/8ER/jkPj3ejRVGuu2Q4 o4/UZzR4ry7o4LefNpjeuIyI24j67cB1O6FxQGup2kVXQCl3tcmeSCCZ35vC0/kcKDmeoGhU3J6c unyq9zRXxygSzPYQd2qBznaGCQdhkpDeL4yZpciOlGg4hkkNCvu4RX5hBkRP4+IZmubJfhAGvOtS yoW0PmBbDEh+ZvjtB5UpH0cCR55E8QJhNfNAN01DVxXCA38P8W4oGfROgVR+JzHKy3BHNuMnHrbQ RMdJXHXph6V4Nl31oizwUJFvoVszjzX/TcCkDmJppotz+pIVNxj3jqkfQixoe7DXnTuXQh8DWh9j AXJkesPYbXxIHOoFzrM8zrI1i/C6j6SpF9aqZWA0JrJ7GMtpaMkhi9d63MydpyuN3vU2VDrUW5N4 2iQQ+TZbtvDFQT0LW529tV0rttDAKBZ5ELOX63MIBEJLU1wPEX09+OlFunW5FV0P5klXMhrYTBlz /QIoWzlH0GxXIb+RjvEqiNYRLTPlsbZWkzD7Z7EE2oWyfH7/A8y1gyuFTGlULEpY6lhOKbZwtUtJ uqJRcKiyJkHaw31dmv2ivJho/8Yt700KVv/xMfJuW7DGevTYpbvK5lE8572DZ+cCZmGG+Z9fvnA5 vhduyTNT4Jz8Vldm3rg55hgnACShj6CCG6B/Arjxc1Pva623fXza9ettRev6x2N1e+Lh8IgLkdI4 VXgGfT/fJSrrXSHufpfHWPNja5bYdzDhwV4b/JD9pnMG25S27rAM7fg7+0Jq3Lh2P4D7IB7huMRZ Qip23a7MRHultmMvV0wV2XnI9qfVe4nGz5fxrA/vUfBd7q4Y78nnKsAsPtneG4YMSzuRmkKcpS9H u1AeS57wnpCXouNoO/gUuzCYZfHhrQY7jVieihFAYTipzwVvQLS5iXrpCq+c6k6SQRJreQ49EzXI kPdWj2dT1KRqHfVnn+6ShvKxQW9oXCDV66y67BK80BHlr54S2H/NWOp2B2Q4dq5iYR7JbOvqHYVn cKr/n0p0MGQe0za80i43N4g0ppuCyinlqj3m+m5C1c4Z73I/tNabVyvGrVEp0McEORk6ATo1NZE8 Yz9TpVD1C/+Hj8hnxuVXAuVG4b+oEYqN8Jm6VLFjby+6un9RG62LjoBI1SMF6WvICzXC0TR83DzG D6/MzL11TbbMaU99EWLlr4Qud0k4Ny/Yqj+3mc3u2dpwJ8N4tRdNZ+F+40rBr3+gDs1tCXO6Kj/Z qGjmLswrhijkVzjRN7535RCVZESI8CeuNHAhBYlkJ31i9RNbRBVxR/tb2pv3QUK2QSE/mgWVGs/l 1W1q4Mc4KBal82PqzN/Fc/lDqZnMu6yxFDIvc1AzqrqATCsd7xn7VLb4NP06bGyfPGeFs2BAuvmr SDgT7QAeBjbS0unh9vTx6QlILJd1m22nyWiEk78Xc7X/NWzwvjUKuWV8IrVoRUE/O7nEWFzPLcfx NnyjmRJ54PhrY/rYwWZYWXFf7/IlSvbbDSBg/osmbwP6DH4iMBvzmMV0A1bDHKmbKZ9YDAGVgB1w LwG2V2ncuSFH9tqqpnedE8j3wIyc6fbjtjmzJNldSsKkQ9I/gZDcPRm7a5mtkrq1EffZrPMjVjwU ad13AqymQDIqMm7+ZZh/JVTZgU8sFag1xMG+Q5p2j2ZmPeSdQ8tZZ83oQOa9YvLjlear5MnXwd17 9EQ9U5a+RInG6ncd2Iybyzs/HulEApZ8ApNWNHGl8pWYcvhVk7Wx9V/GTYN5LYqwhoLsXkhb61Ul V3ZdOJ9F1PPXHOrUYxRiiwxXargBXCaFgEkJhMfuLG7AXwsNQFcxHWEAUs/l1S/lH6H/PtElEcEn 3aFx8PVgJ/fu2UES69l/PzZX66xFCkcrqi+Mb1HpnKFJgh/fHMM+gnOxYmQdigTy6GUZ7Sw5UTyC otxT6JRclD3oPLpSeDncp4uOx5MJ4Wl6iEc99w3fPca+eZbzVdC/YAc8ESX2rRkiNjbbBKC4o2MM cJlsrXGleOML6LDrztMvi8qT4aKEyGefUM9y+XYh6Yqw+sTUf59yA1TK2Ro9T7NXdp8H1nudRQsc OkAhealFeWxXXDQBivJ1WvjmWGhJg0ZRGyQ0IjNEowaOYXrfkXW6A5IARzWldNG9/1FF+b9OAi7m VY6alHIMSwDvq69+oPBA/WM4vDhmyv2aVvCCKxQfBNe+hUUXuFM08lwxGICV1XZVdkbFh7RPl5we 31HseBb3MMq7x9Yls6mF1QhU/gtYtCvIBDjB/DT9/6wVS95vBiqgqrnYGlF1ouiOtQg1kQRx0pfP VoyBO4UkVX9j6i+w0QiCqk5+XvWWEF4LF28lolbZcuT3VmK8hoF9yITUFbkuEPKqffvSmD+3jX3q 9mGWVl3dfm/xQAr/64d0IBokEyfuA9qafxwYlubJOiIFeGxg7IX7L5FT0gNg1NsumsP1a0x9+Hx9 D3Q3Z6fb9jHcl8fHl/+uUcGJn1mWgAJGogPWYECR84kVATam+dVKV4y0VzRtN+X9Sh1JlPZQjqE/ XNN/Qvfj5j5HX8+8lJLNbV5GIUrZXWNNE8ZzhybVWCxA+YxzAc5J3jX/pc/xG/Vu2ube82cqBG9q nPlxO+AaFhbhs99hnICc2uJxH7isM2+tXz+YOj5y77Z2Y2fIVIsNpgDbF+Cc5DNpfo3nfJntQ41p W+QydwIb9FVRUIf25snrUemGGwesFPvwjr5T3N7uXFEthRX8ZlQxGtqQHFsLs5qtEBygm2BMzS3a 6TEiatmeyvBJhltt6qScw/UyQu/VhcVavmRQzIF8kFwSgisr/OLMfK87XyVbVjPN5hQlRYxLxL0u hrIWkbEQjBr9Fx/Zj6zxqrWc/ctG0xjFybBsB0fqxgQdcKxiIjuAG402KJh88aTgWd2wH8mu53UF xsqjuXWGNrKxvXr8LCQRv7OmqEeqH7LluHYZ8/4UIrRwx4xlzawKeBjmNaz0ALM+G7ynTIM/ziRb X0PIHkRoXfiwwKbIcIlLOyygAgg2ngY/o79KX+X0mIMSonWs943uUIoqXafzIonCYJ9Oz5xGANsF 6r1qVDlSmNY6jFE8UMHrqzhSIgSSDO0Ah/vXf37N8Ch5CiCC+CbfcBLWNhKIIjti0a6MLN7le57/ eSd69BPNdg7074PEUNi6dq1w70vupaIILQlVw4foUIenHd2qRV7joxmaaFDolvcOMUH2iiQ/DsEx H6uycULZKmrT/+YRqxf13eHJiybNMHrfLQmC1WsNfeSGvvVvqIVlcSmVwo1TeS5kJBHoencebIdo t87DaLHU3jgM71OrQvYi0joUkB+uuNBSO3Nj4FcnHqpptUTzRU7lgZvOiyQI8q4FxKefvRohRSV7 WfAbrizm4z9qC6izUm6HVbvubIhuBCXl9rx87zUyfX/6uUZFRCLu0Qfok0MYVF0edzJ90TX4c5xG BhI2MBZbDzvf527qNGUyt2p/x2emavcHRaUnOWhjhbUpeDbjbH0NJV9Qnf8wpzr5doLqdtRXkx5l Ji8i4Avb1mUX/rVQoDKdWFMi5jeV1xjJL8ALEabVxRLwq6zsSF79H8zA61ynYbVX+Mmk64Hw8hJj 3SnmTwCSboqHRH2AjYg8tGggI2is+2L9QP3Ck7mIdBlyZtwvd/M0WnJPo5kHoLdj/MQd8YLXH+9s ZTxg0pb28k9iFop6eKoFjYzdkHbe19XYn49sdZJ8E5lZUEfuagTN8VIGNXocyGKRFu0Te8lHipIR D25Pxdw9n+m58gTvHHXJVaJVfC1Cv8Eykx7IQn0wohg2ql6vP6i1blZ2mkxTokHiMhSiZypIeKUg gK00zL/i1XsTMu/lB5CqDXNhGy9T+aNwJB860ve1cPDFAjxP8EEAq7KWxTRGZ2uKKo+1ycM2OwIS z6lpufGAhtCVo/OcEnu3rXcMmpmqRAAOBk/xRsb602wVbJtZFmAOoeRXdupfIQhpVxNjp5r6mJ1M FySnRBiyOaSyHn9fPvOoONURskw39tPGwkyz01zU+71ncl4b9uLv+BC5Wr1s4ne9SmJk0fH/a3Vo gOVepiwWjy5aAcwCs+9rHlhaEnAlrY7Jchqn/USvY+87jb2PF+0IcGK52rAI+oRuXpFvEd6GrKic dhavch7Bq4+Ppv+XMTVbBaiQSb20skd004pNnLrdtl22CVP4Z2rO4Xqa8FvcSoXvlr8mFMRLNWUD yMkdJjKp5BxV0TszFrjS/J6ExChX5QQt/9078E5qzjOISFaf9gv2IpGdUAYckrxEg0yWL3tc5B93 mtsrA8gwPOCem20VSYqqubjC4oOkTg5uNjbfqxJtepkh+R5wSn9Xs9YAZcXur2mn3rvu+MJ4q8US m4ErsKEjKWTdVNufYCrSkgcNDozwiynvY5wVZNfMDMbGXeZiYzMeNo18f52a7DDkczmjq9ewyWGT qZigEO6DAHfKG1VW/9A+Po0SfVIGsXpQ8b+2RRwG2w+bAUzblBI6bqKlH22+KFSYWmfUKnNpJ60t Acl+LRGzmaWc/NQMYiBHUVQv7Qr6yrwP2y5LrMXU6iKI8n+If++EwL+VSzuSXc2CYPAFAh8N92dV Apu0hEobgxnZe2Q4Sbx4h0Eil9FIXCwMWpLahttARpwKgIcfr5zyvkjAx66xVa76svJz8fB2vNtB aDV82a8B1W3vjDoKzwCvyZhiaqzy/pGxNoUq9OB6bY/Rc50NKtr8ZH9UqKRCaZM7+/kL++eeEB2J zhMG5h+t0LH30kipgmfQ+X3qwETvrLLFnfdTJMQImE2mVPjVg6g5QQiOzKh+FK02aF+dKKEj7AGR Vqgkb8QyzUTrLgtPjSl6Zt8WUPqdIMtJq6yvDd8ID87mqcjSPStwwGBzA73F2f2UftXw/3fveoCF v3bdGwivUaheabC9ND5m0fjG9S3MK2TIdIYBTH5xHtRtQg88FCoeP7ZLequjr+oXn22wkgEpoiWx WJ4iCH+RNYMPC7E/lZXVDTVMckQR6MsfheFC7519650nGKU8prdcsQ7AEWpKfNUEIrR4Sw2vmsFk XpfRw7PZirdVYGy7fl5qtjAE/mqqDVfRVpRY4tofIzcYCFNh8s6s+K503Zct1IEfKfefAIq21RN5 qxoWR7ojrLkJnLcKhbsVrhawWVcFyFXPmXKY0iqstK/VfoL3Gom7Lv5CGRLZ4lBYoOmcU9IMBGw+ ACnyB0RMYBmZH23WbkIJVslQMtJBHHkwOe64ckdx1QLOzn5hvriTs/RjtoJ9P9KGrx/+u8iP4qIX pUD1PS8kSy+xHReM54YvdDtR3muDKFbtEg4/YICM1g1Oj7f0h+ZyapYVEDoBzwOux6oJihinoTKf PyojNOYrap5zzE0dP/xUdDsfHVX9679vuAa5d1utX1unB1nV4uEgq7p/0DEWuFxZyNuRJ4/xt+iS EldhaDmzQ3pp7yl5JzP10BR25mvTnqryjG+MfqX3j4fmAHicpVC0APGuRRSWYGk+Md9cRqkz+AjY rLfme+vF52eCRcwDRgIMum46o0zYJnGTAGqbkXYQCWK0H5/RkZCe/AxekJTHYQjp5nsIs8BDYZON EqI0EuvnUVXbpg5Npb62HGSLppGuH9zVG4qquyPX1zmwyXgDrkrXgrfzdEGd/BY2La7ny9rdZxR5 wkkbBn2QDKct1wKICMkI8h9eABfg0dsRney2ECVTJkBZCAuZEB4kzbrUHFDbYqGUVgP7Szfj/J5v RuWEhPnp6tjN3j2JWst0ETN9eIFS4Yun88aRW892YH7HBxmRvK+3zD8LwBcY2WSgWMV1ZMtHTS1i 6LOY0f8d0wQzdEo++mywv2kIdc4OhwJK2u5/udUGUHJXGa51gNg/rsbAmlcOTX7oZc/eZoWH0wr7 9oJiK1aAuWrslQPSGqqGfa6tfMmIP7Z6TC0vxj8Hs4qf9M6riFnnkZGNIzR5BoOLQmpj8xnhT8yj QiQf9yIam+ZpkcI04Sl+ext8HK+Wfmmd8BeGFkLHuoFsWvWebOk8JG2/A7seY3ZjoEppoADwEREN R6Bf3pdbvSCE5jllF9iZ0MeC/gVDh+4+MFzu3XrpW9rCGMt6jJow0iN5mpdr/Fquhf5MJWkNsGde ytsRj15bK907nYE3WPcmsOZ9xjC6awYmxN4sUZNLPsAiUvgJEqizQkU0ve+gOwn4iEz6GbRMYfsl UuNJH1yKGhpHB3EUOVB9eN7TLXB3lexHmkaVl6BshKOMQwIAt1Mwq422X49/aP4UzXfHdF79Sax5 SeogpX7rclVUUqvTjbt1fmArN5NZtFJplH243uUCYNchaN2qcDN2wsH7nKhyX6I/Xm0UYAufa8E1 fePm5LrIH8/jsQow5DEQaD5LBk/liYJzi5lswTv3IFJ6sc5iLCJifvUk6e6Z3LYyy+9tKSSDv8XM OdesTDsmcDBuc8SvxfkZlqYys6QD1cAAE4fPKBQSTXHMwwSHfc49lRtIn1un3W7EKoMBQTjgWYMT 6t4PsyyIDYChx9wi+yZXcXbo7jkdvQTFzTSnuRAa+yOday11qKy94twP8+HS9WBEj1VC6Bb+OGC4 semX8bQy1gZYO9D/ly1NXNuwHkk6gxncSN3jhu/+hfgtyzA+ER1No7UhQvX4nCPVtRjbf8YOcD2t j9EdUNID0t5YWuFAkIjyH1ccJAOCCs2aLgtYBkFOk2dOpsoUftXSlZNIk8n5XsZj+d0EJfG+gCXn y89oOI3B9GXnqmLKB1vo38cRrZYdFfHsZJORkRCukseHLuUVF6aG5MLY1NL4q9ScWP7Sm6swvy6V 9FV0lRJ7Nf3gpLGXJ4Au2EbVVQ275uCt0qpiV8vv1FfSGfXgJNu+Vo/l2FSjWDV+GzSccbSulv0x dkUoUdQCHnh40aZjPwah76vbgo2yrK8Zp6MoagpUIE66Bq5aUrfKnn9FxdptULVq0dh+dYC44Iij u80YmG2VbEiffJOLIUKl2vpGQIRvWstHpr0tWjCnwF0E4D6mvLw0Z2UBDahLjoz0ZGEvqMR4pSBM B3S9ZoUDDv1rKTtJDFkpJaL+0PcpsksdkYIwFwYyRPp6nqVGRU/5Cu/EZKZnUERFalDi5jrcAOzQ lcz4/bNX0C7uYxGw5HlU2yRSvGz7K6rqkuAJ+a23+u/QwSuLmbzdvcigF0V1mnQfnjxSCsWG75/Y viqGZyHBTnRCph5QRcSB/rxd+qO8JoADfjJ8Jo4VaXe4sLCgcBJiehu1XnsyO5ckoO387HtVHf8i 44J2nsf8novDisEnmFuaYFgxMVJPuhuAspZtioTuqhhBZOkkOWm3R6BYc9tft0JodNl3zx5nFAcl T6klLPgCdREwb4QyTx8+SXH3YGRbcDLG+NqMbcBk1H9kQ//MmfERNexG7je0zfaAvxFvQzN2zlz5 lMMVHTLjIF/ZvsUwyz50CIxglypcR58iA29I+rCp1va0aAxLPTV+eqWDmRzKvd0UeYm/JAIWUZBS jxTa3hkhsU8HsEdvZaAKEuts76W8EIizofGFl3gOB03sZXNgwJ6MMy+yxj6U0WvMtemNjs92afu/ hEuhnGv14uTi9FCv1hPwB6JX0dEP1Q4k8pBVvn0xrhKSfK/80SplUPAO4kIThBzVsUokxqQp7QAT lNjyFV/qbU5rE/H60A1OJ3T69d9LFJt/lgWewOfucAtNTSKeoLybFY3r4jXzpTxKH7eKAwR+KO+k HbzykS1qWpWCgkViS6n/LKpQQG086NNA8tz2zB22alORcnzpYsI0vjFZlZWmOd5Hu5bfn+PEKuJL jOfv7rbeIA1onodG7oX8av10v8sQnSW+OMP3vLC5co3SQURtdV0EvF33JagzbGJU9ZUWla0wyTzq jOCX1qbVLS1Ust+AayTu7y+PBVTjkGBzbJsTwZgXp3wAgQwT1eG0VlgFfR+XpV+gHaNNlF+2gg7u DwScRlGBZDZo06al/FvyiqvhT5ldBWVB/olUw1zMUzLnkvzNROHrgsjp0FuTmmokam9bD8Xu1ZBP NgZZK7dH4pSeXmc8QyYnSN6CWDZ/qmJrBtqgFpGKIh8XcV/QT1BYbXbaK/lHVpEAEdWbbQFnXm6c RrlLivPSybmNFWMgXfW45LBytMJJnqeISw00p7bkDQvw74Jj7VSyGNDQNG/oUooLM4tIUSU3l8Yi rLPa3PSX9JGSiZFnpaDeVs5Pf2PRjL7Lms6aDV1o5uMXRit7obMS667RTidQ1PiZef3WNvdyCz+s 2y/l+h8m8hlWtG/url0i7dLyM2Z5rh3ZKX2Jpw5BT3YapgmJDSRn7c10dpLALNpce8LDS75/aa8F b/MAS0M6wxgS+R1M214zDTXEFSZj6JfotxVdMGFVgDgLgKICc+U6DIq2fRrL/973SmS49nL0VD/M ae1eLJtplW3aCGHB1vrblJvffyw6RvcOOgu+MAi04VMHv8nFRw6LCc6zD4VRlBpCSiLM2BghbSBo JsAiS86mtvys1hrmJSM4+prTSHjMJFub/dTntP6SAvGrUjDxjlZZVKIn0l3mJbPBOKLAY71t9+AL FU1HIYRUZDnryQwYsa9cdx+bOwsabvfU4xo7Hg57kxEBEPouMAUEMqlV2H8OXOnZabgkXShYyvun MLL2aSdEoFYR1zHaQj7LxzjOCQGdsvBmwsrXRbsswF+4TmLQva1hmy9pyhVMhJNHSPTinlulCBOg BSBJmOTtD+d3PDN5PaULeQdTT4F4c+mvGD23iSmhzbPXrakJAOONgyBF/8LgDkZpjmA8u7xIC3te TCSODykSuSxyulxKDxoAZIpL/sTNVX25zWEqnpLVv/CrmuLd2s7jCvYRoAfcT2glmADdGbkT5+kp hKyPonay2rHlTrVuPs67negnqhJEU928IcZPVuVHIQyk0MDuzDM2hJfds5T26+qZeWDt7EuNCYVL 7d7Sds85vbkfWiDqfNz0AyV0feXEwUlHHjBHMggI49Icc/XHgv7QE5AFtvhtiLUYYUq6p7pjE8Ic PBJMDqvUPdJxUK+ESHVLKbv7yO8Zl7wxLAxatK/RuhAbvwycXj8kCfX8BcnmeWEGC5MiAfJt9LU9 LXvvQ9bfGA0+wr4A86+EzISaroegwbqfZrL6pogixG9xnGhvIyQR8Vil/kK4EbV8axVPDlkdP0YG ymobl0kFUhIecFfkbP6aSrurUechNSl3/CLPCdapJoBg72eQj2QwFqhZ2f6poHrji00/nkhxDcs0 9+Vxf5Jvbk0kEnOUCg2zUEqB8t4FQP6hVC9IQiA+F+0UqrZ6dMIg2bfUH7wkQ0PRnVJqYoTS0Oki trcvpTBZUPRkcaIPjqc78BKyJy+qdSkfwUcaDN1D5eG6sA3/CJ44jLUoIZn2RkN9Nyf48TBISbFY RBd7h4m39Su6lhzr5J6wUriDO6t0tAv7BXkaWWgmC6rN7Gaadyurz+UxL8MY5T1jMdHwFsndx43R CtG0sL23P68m+fVlXUSP4bpPtWA4JscHTY0Vp0T9VmT6bFN0q3ZCafOI3MCyArnQHX0RYe5oNkUw fX/QEHNM3N3nvJBscJ/ErvDoomJ/QQFUf5uWukEoXyZvMU0w3gdGTX2FuXR+pokfyTnFcSZZZgvt uZAE6YDXEQhFeiAOgIAsJuo7+DGgmLu47eFmK+NnwD3afF8OkYJcOPqxOe8o9UrGwxZg9BVpRJjN TbxlLTG9kbG81liMGZNyxuT+14cduwMfwqw+Tb9quOVspnRs4BcguaDSHvAuhb7Kun3HYUtdqzRW NOMyeZpIAfAJQXlDYsjJ4F1OxkbkTEgMU6cGLlX9WOF9MWoB5wYaowts6Mw5lgExLpQuhv2LOrlh hO9pKGdZSM2GULv2nFRc5ybp+avJr4RUVeR6jw4evp8dqOi1QQZgILCWimCnVAVe7J4MIQdFiQjg 9DEEtCvukRJjWMzhWpy35gchbo4HBnB+BVj3IpIOFxkt5bK1kTAJHufADoUVkhY0YtXBLVNNcFua ytBkdZ7bEyIOOsKxxXcQbXpDYKtUVdIO52l6zHTbbfEDkWMnvvDT3VYdjutGS6ARM5kpttDLugGy vafnFoBMWxaoeqI9rDQfbfvw90dtt5KnTR1+yFUtwwsYauVsLiPreAzQZAZSBFEwVwgOl8G1+BL6 lnpze9ESHZ4aSDbmikLOgVelv14gY99fxBcYitzY+SDPPATw10wjBzlCrW1Cu49/5vnskesmp4ID Q5JQyattNPgf/5H3bcVM1giy0Tg4b6e7S7FCoeBM8G5dxnE8M1NCPKZ7k8HWuKwkPgujXlfPgaF2 hf0oKUboMmbi76Dt/NxT6lPOU9cm562bZKMTy9Jm4XnSPjGSJHDsScr2fhhc4mJDmtCDvHmq9Rq4 e94FLbUA5C8InO9U+T4fXE9hBViPw575ZPovE+AT/iDk03r02r6kKl9K6H9QbnTmd47zPRnu9Xmz 2bTHl2l/0DRVsASS6ak8rC5YF1x9U5FXEzzKWJraYIpWhh5EkshkACBkZSLtwVkxYn/j1erBeDAR KSp6IbkAsy84D+7GMoqLH3W4wL9TS8+EkgBetuip/cQlnTnSI14POsrv+t7rG+dm/yeM2tIPhVgO 1S7b1ms4tWVASbFqeQ9rqNWT2T5dBSYGr4e6m8Rk+i/LPQ/jxkZj7Ah596aW2Wc9X4wCyDahAL86 W3rWKL+SaH811rg57jakNTa0Yk6zdZUXTxc9ufkV4Y7pC1NcnOfUpQGkrLNgxGxs461Hqfe8H4de c4R3JW+yaQsrP3Ru59xRGISOEAloBXHP551M25evkbcMz8APcqwytCmfcKMi+dwwuNExH925/Mb2 hRX+It1qgiRYAm0G0XkpLVs5b7TMVTVepGcVxxCpuOVOKTtZKVXZbWmDqWj8nJCVcLRdAdRVLr7A +9JvfxgS/+AI2K0NKqeOIqTZwiFgw5V7pDq22s79aQK9jGmov9LNRpFCGjnkPkzvK0KVGSO7w7Am ytFUlkgl8i40XusJHq2cFRHsags0YD/VLQWVOuD/jCOJRRfKgk6Vpv32NqzMn0F/BAIvlpOdO3fD apjshVhe+W/nvKJUNvYS6kjf9cX+eoJwjre1kj76KKkm84Wi2gs8tlAq6jCtDurDNi8dFOJhO6cm qROhCMbZMcmpjGyuO5R0vy5EUZGPmMiVRQVGDobQd3lTSPQwbDA/SwPbKKcS2JrU+IAJYbE12tTu 69zSecjfKnbXmMNbuY95mK2s3F27dmuPvCeWR9hFe6AQyAHBSoNGbhFXp9Fgm9TbFdR08j9XVnic UHTsZT+pweF/qNAFjAALOHLea3kBuF8XEbJUrKze7ld/Z4TsNl/NvtWKj9/BblzXO2kfRRWsdn/t RsASxqsGoY9vwo7u8O0GAuzJLBtMvCFaLGVtDSyM0H+wheSLIpNTPx1W09MHiuNQ0YyxDSJ3fN5h t4a9tE9QlkRZ6D9yfNPOQFWjoyepUf/MBQPalVGqabovD2UP4eqkdqHrJr1n+QLgSG7C6VpB3F4t qZt+RKlbviXfx3CYU77c2eindTMEkAKjaOfBeAhkVZEg4Ms7Leo+ipYDdyAC0Ng+nW29qlErIVaJ sRSrE0/EyhyUAzhCXA/9OtqOWkg+u42hBOgK1GEfgEgXVnQuhKrMn1W/7v+P5sjMfaR+TK47Q0U8 Dj7gYeATE8Ctw2euXq4HOzjUPjMMGAQKpCEtw48CheaAxx7Lxa/PRT2GqgM99IQfjGoc4UFEJir8 Q3hZk+mEC21AHkFYn3sbQ/z8x/NBYI+NjoQtyffT61r857ZvYx3lI1lc3jW9g1wkNnYlPinF/snD 8d3eHpX2A2S0VD/PqEmpOnx3AR1p2f9x7SSo43p/4ZVe5tLxhh8cqFLw+AN1CGlMfaBhj1slS4pv AaAj4T9dpZpVSPwPlCafWJBMxQdaGqb7s1cYbNXY3vjlQmwntIMrFM4hOK7B2JULMucffTkTuQxe 9JaDj8rGsLNCwClgoImpuvfrIE9kOkMt8vIoB8eAzQSbrD20ke1vKPuYGYxGKFbDqVZ/7GJ1/HGI vrzEzOP1tcCb8eY+H4s4oTc9zAl+eWYPfej8pJWus+kCl967aRQ2KBHhKujqjBwACjnJH91rf+Wu tz9g0uJXgLVMXGsOVoYr5Yl3gO18jmHtlJrNVZUqt2LK8J49ZirtSxN+uVBTNIX2mCml9YkxjWq4 5BASmcEJuHEfDymMHnjB+caDHwMVd/oelDJGpnBm1m/oAdxlVt9cTmsjGIj2eUoEpxI/LJ736Hv/ kRd/khhds910aG903mI1lRoAoHqLZT8XnkQH3qcsWH2BGBI/7ksE/QqP3GZeunH+3GkTjRFvWQ9W aPC3KHrWxW0BklXeAwKTNN4clzbj+VxdUL0OHucNS06FUgMAAEqeTLRG1loa7ZaviNSR5g/TM1aQ NyIEmhcqfJB5B8T+TlMZDDmKi484p93woRdGli5f2ppwSF1rUXzRtrc6f4cnbeUY8ihd2tZ3/MRo B+1BuJkM+QJZh7oYSzAJ+mVBxGM7yLFTEqsTFIhDGc9H4T198MDurjhd2yWXztF3VXAOxrpcrhQq Dcy8uIjKb5Z0XactBsIZzBMCyiSyKoLd18zFhqsrZAecun9gfo6qqelF62pqayAVTdfZGlKkIhI7 jXr0VgSAIeh/Pco+ZKaj52y+VbzvC3G+0tFrPE89OfgA9Fd046bDzsMuL/q+0QcxtX6X2aR5EKhX dhZQhhi21X1JU17vx785ppp0HSsYa9cs4MpB+Akyi7VZ8JyyfsV9fr3CEMBC5N2wpUZnsEwwhlY6 1HkX+/mOfAOZRZHAHdywLheI84mbpKuYB5OTvXPMnjj85J3qWOX7TcY/rrKmMjbZCtSOpuYu3WmN Ihe3X7d8YysDbmY0LCgPdPbPTrSGW6wNAaLJrHPyapoawVAtRbWad7GftpXJ3cWaNRWL+jY+a6sb 707XybpwC9DNnmExDCkp/URNXqopMwfUWn1WYMs5scH5bjGxlcczM4Gbrmbmuc5VY6f+PDoRP7/r 76mgszpECBKz2Munza/6BJNNAkkTyu++v9n/HV0X86aeYrp1PQ6v+4RkC5Uz75I2TyTQDTJ+m6Ul iRM0T6W5BhQM5xJb3JZ4iguYkNpjYp0l2osN2zBZ+1lEqCtdRXRSAmpVduSzn+nfNxLfY3gXR7uC qSxkbfOq3+W9sG2ZpnCoexLcF7gLMJkokcp5BCAdMGfSbUeUrrTBNNcwTdiFhqoJLAyfeLJhH5rD 57alGlGMa5x375Vwy9YUbc/u5gjsiV4NMEaFzf6UzOxdcFmdJGtn5CZ+VTt0XXnz3APS1E7b/S4Z zY/IPvIQ0jUcQItz/JFEGXyoPOPcSsMqZHF0h2hyLtDEiAnfRyVCvIhhVF4j5PhBO0IkEUowXnJc Olsj5/4KB0pxCdTfYN39nQZJPYWoURECn7U81Yii4sH+ZL/BjSaLsQvpv+td1mkCdIja5IcFn4xZ C4PEd89tcyceZxcY69a4DYfZZh0qALoTg1pW33TP5gKH/Pm3x0802Fv/4GkC7UbnD4Cf+tnQHsop t/uAkj+SG9VBWzKemnEEZ7jvNwCNOEHfok4n9XyLygOrbGhM98DfPKyIaD7aDzdui1j+WQFu8Qq/ T/wvXJd+6uVlwbSdzSMV8wCjrCmO7WEKo6LmVvdB+RMY1zI8g2AHJS4PNK+GA85OhMDJjKdMZq9o DdmAu8+GCZtpH+1QTBV0K4hVDnuqo40v01updYUAk0RuwHngzO9gcXysbkFqpky8ohnJKwL6Fxup poIVmgNLVSSFadUwqaOMhssfO1ovwrfA/D1lHtce//3ZEQQNSEP/JDhQ+SKqu9fB+ISa9e3yetwO 1Vd+ftajKpR/v11K2O8YTyPqWXaa2ZBqTJuZpCo30RROifoj21MinTg76KOf+wiN56qgCf+FkVJi FRE7IHnCO6gCv81W8ylbaq5Y9+pSFZ+rOUlsOlnlYe0P9U/vos/3D8yiTV6/vPTNNImm5Njzrxi7 BdH4x3H1wsaiu2oK5vYeye1RHW1250OlmVjuPnUAxhfAOSzbw3JaxCbR6jYUQp5W+q5sBa7ueMnN zWZXzLAO/MQ6KKkJXlQWQ5eBf/fQdPuQGZeEF1xzUP0naVbuPauu1CMz2auM5F5RM1t97mX57xZ0 v0HaPqGc4CNp7NwGXO4OB9rO4esnVvq324532OU/lNOpB/tXUml99UdQ+GRJY4XOHjcIAhFPefbx +fIbBfN+etg7MzEGjxll4hAnJgndDDThmTk2Etet8K81b0ppUR2OZPOWtKdZq3NJvXl6POnwxJ/t YVlLiDm8tr8EF48u7g/jPcV8ioNBhxmZCzuTNbmQ5mTHfeFTNyiMJD8XGO/qMPQBUPyL/aajO994 wlxoVmCLZJYWPitDHOSu/U/Ci1ijcUDnDZR0NqRb83lCbRYaqlltEAa510bQwmxK1dogpOwmbUmW r9pypEd5RhFq73oobUy0v/1rntykHVaioYcwp/UXnnYcCjpCA+nPM+0tVBuP8S9H8Zh8iUIAvr4a QK+EDLWfFgN1Gz+QgELOwpz7lJw/zem9kFCRxUjmJqcy8/BJo6vKWUsrGDKxyqd7Rjk9sL4T/0di GkVJ+QUYC5ioanbozqMiJevq+5nzmYq4/l5D2gybBoSmUvWoZseTcYddRw2HSNwXFfTh0Ym+PXoY dNFY5V/6pvw8+KtZnrcxkdpTZVPIaZrYUXDJgeo1CpHTkqAol/7I0KYqErowec/v4m9ehn5VP7W9 D7Qv/pBoz4juneXeV+TSuFX106L8vXn/TOxiOdQ8dCVBwE5fss1Mrf/TDC/TundDZXG3ig/5jYIF GgzEqR/vUZvJ2I784daYtm98D4HJWGYebxof+9TVdWFzcvE8eMosl+ms3WuHAIljydRSKdwsnVZk Rh3kTam5HQFt+DLrPb9VtlOZzHTYaE3UdYAvsP3xHfVP0h4KxSuaAgBd67x2rWZR0qOOMlJ+k3Xx p4d3J6lExF17RYI9zQwO9brkdVe3wab3bTGUj/BfMBe2wbBM/D41aXv6Gg+3Yc5J0arxf155ITxj OIWG813seVnMGJ3ZGE6S8Y114M+APeSY4eRvUOPDgAFmCr1ooEHXUhYNvBWqYmNRyv/dL+hCt8UD e7Qy5QZa19sDWUFs0D0fTXtJgY/15uh44kB6cpIfH9fyA/KBEVNcppoVM1GWL6ThQJo9RdJGCqdT Wp+SoFEFnsddBNMg1q0OY9Bp9FwMD3jLaX1zEM0KOOEtJf5xEK0596N6X+h2yRv5Gqb5YF3Hwvj+ h1c4Hd/QPV5ovgcdoUic632wUVAXQZDxAtcJVRFZp7Pq7/FHVMbn5zGbCRfhWsWa2Sk5cFjHtP6b jzAKn5nQDmcvm6nzgCaBzh+qHkhLFE5k48Qkd0ddMPrgX0Q9Rd759bVyWJ0xo6DKjxTEXBL1d744 0QRNnk343BN/2XFw7qx8MEpA4BkiHhnxZcPMjmKUPfQM9w1hRMwKXeglXT7CKAGYydjd55gQc/Nu 3D+NM96RyMtZJ3fxQE1x/ZRW8f4f4dfw6MzrYeQ5ArwISyZMRkmjlkws069bIayHEwHSfKGZ0el/ SXkjKk9aPsX4TFcl7X76dVVlkEkfTRxV4bPtpO3JbP70d6RCJ4ZTAFc32GK1ZF3JMLnrFzJkQ7Mb pHGHF8DaF7B17YFfihT+pgce5udao2WJ9EnqO/nE88bvSXVeiryAngemAfFMCQc/yioemm1ayytq J8ONWOyl7BTU0Kp3kJjqYiSo43TdaoaZaBBKto4u4KPUgDGxbiKj4hN0IkFav7X0/w3MaJg6TS0M vdqTOOHXtUV6jj6sJ9VC0uGpvQOOSh3Sszv9pJlvvwBJxSqLw022jcgdEvmOr6pjNU95O8GmMzOz BRlbXY6WtZ8q2kAp+vaqftHYnZwUffrAul61TB6ZMyKy0igW6lDu6kAgOTwQ+Ru0gyxxjZ4qtMDv AeLt+RXlCNSERWSyluelpoJyavxqvr6uVCwzA4k7MMFoHF5HBev3t6YTfPQQ6vSESogR5llMMh17 Su17Ckxvey1aiaXpK/sp9BF0hPxbWMPMsbrXRvNZ0gOXUW0xQIzdwHlDlziFDCowvTykeZ1Zmndy 8YeOmOUJGGvPb0RwuOTfNQimGTP1l966m3Ag5TzCiR4flS0QrTJ1Tg6/7v7rWtYFcGkxiZ29mGOk 4dpzzvRdKcxyJwkuczZF618B6Zv4hEeXck+Ll52o1ef3VHuFlTIN63iW40Bsd7KIbfdbK7x8/HVz 0Ro5mzY3CQWO2U1IJfQ6TYvO64MLw9J8BclCwU8yMWn+wFr06qHBZtbavSV0MDUqzFKNVfNz0HlZ tQhPFPlWPPc7lzo8qFVdNv3Hwziesgh9f0fyAgjSk+ia6EUPurT7R61lawQM+rW0HLUXzEi8QptW YjqgGuS9ou489cZSRYxOGvXnTSZJ/EihdSdcWypl5deWyzByQ72Gbis6f3TtIklBaKdtFtXQdeNo YMn/Wo8kjhhHt5SPsz+tCD9Qus1rF9iwk5KWRw4vSLzhKGE6xVoWoUFSU2pG3cDsePRD3bIh8gBG XJ6wQrQOFH3LGX2MOynU6jnHGe1z3u5STig89ZxIII3tlZV4+eUSGTxvvlPmbyDA/lXi5L2ZdL19 bTb+2N/GpnVEJpiD+09VW+mUsuBwDUXAtV0zMKMz89dv+LTnz2JhcboLA3GR3IhD+jpJCcdP2FAR SmUgxcE0xrzHP289jZeUu+dQuwRp5KEc4hYMDKBLucsxQyGGE/0gs1qYXZrB/8hsfVF9LJBNfL06 6yghlkRklE9zZSey1q+BS92VQjatKLkZWQliCvQDDSUr1FNGPbo3zNfvNfqORz8K1Zv7vdiogTh5 Eoi3sUo9rE3EGYeKH1srrjJvtImJwLAq+FMhDMIqKX+VYheWqEnX+p91Wptsu5KbPzVC49I3W14x 3BwX5zcjnwYpn8t2xdpu+u+2nxoIW5wia4jCRELYFGih3bWXrLmaOSdrqYT6FYKkmfBXTj/P2hq6 ojmo4LISW+Kv5/skyLEHcVZhae9tNbtQOEuYE+O3vZ1Rc3YzD8tHlEtVN+upevoNfzZ/1Qbss6ma uQRpr5DKaydPTxoEr3cZUHiqRpeM5qs+P1hOgLN9dIL2HCTlxGe3PJUwKkpL70lvYBf9/HkQXm4T e0cCO7NcE+tO/moC+6oZAnHw5W1p0+gKIz913rZ5OMtW2ZEEAzRQL9yC5Mn7q2n0ByazV6u8WGNP gHypx2ZHZWmedp0m51dRq/nylonMMT4qSd5iQbWZocIm8KsmJHj2vlId74MBR/4SqqA4r15LyYuD qIAmUU3cQkBx5hy40aBoxCYRKhf64tQ9XpuvE7BpkfWxVXjREfyfzbar0qLi7JpvFfqmDjl2nLjg rZdDIgF8dKhtSAc4NNEy6IKqyueKk/TbvqFpwKjgPWww80k0cktgmLLYixN4K5wyQYgoSoP4hiab 8hwVlQ6cPgsKSWfK77zZkhcreyEJpyr1pK/yCu9/diGZI2Xc5aLI6Kzj6+TMB0mmUyRpnAMshf02 lcoJgj7ZAP2lSdcskBPIkU8G5gZXTz7rYKoJ5Meg9lsqvp6r7cbs7D44FCt3MvPVbKne1bKs1yzR D00Ov1rEoTIQ9Zccyo9N/lN4t2roPJZi1ocOCJxTPl5Dl9Jokvrb3mbuQinrgUKnX7opSpEJqT0C AN8NVC9Slo6SvtViBou7m7aRiY+a+wLcs4i5m0O5UzArIOVFVvbQz+MeC7n2u0j/AYDkXMRBw6mI pzLF97WXMiRNAGW9pPBmn+xpxZZlriogceqb1R70wD0D1P1INj+h7VyxG1zC1sb6PF8viofmYGtT bS/04QrdQKo+dFxSHAb57RNScNWPGjHdKgfZS0ZTvx0ouyj6sutFeCwgaPX580IntR3YoEBRa39T n6Q6nMqGKk3F0r1clm1bjnWGkjJEAIcFlNsC8hG8eospwPu8fPnq9AkDrSM4HfShsry353PDy8BF hI8q6mnkKGjE6631lg+0tPfgpAWkpcNtD95VSC0B5XpXizhfgFRs4Mp2ksogbrgC8OV/1XuxOmg1 rNYQI9SF6pSIhA6AIdrsy8gQqthoBxWIZ4ay0+EdnXUtLhCCZASGaAf1VFAYr68kHCjBE1SmCbHd GyMIGNeqqqOD7mKcbgJb2FCddIssNGxfHBlnRWKYxZQsEdbXC+xJprqhfKm3CiyKZkU5lRsc5A+f 3/V1ZTv1T9JcWU1VP26SuYY5jK5e2miaA0NK3QuQp18QIUuy0nFAme9aRnjgOwD56luqviegjkpc HHRUolJanrHJAAAhqQsDkg6t/mGPneKX3I0UFoph6rGCO+BWJMEb3k/LfsXSJZYl6+qHfzGYUrmZ tok8hlIXd0KBarXIJyqYwzmCgJ6mk4SgLz0GmN4YXMfpF0ZcTftVoXaFZS/5MGlaZiyhSXQmmHrE vROXak9AJnMbukgd/Ir7l0VoflJL7pjaXpLyRYLhA+RT9wUJGlz3PHADQtZmDR7uSr1VMfgcwLtP dglPsvrErlA5K5mxvpqPK+VZTsRfXjA2z+VW8BM7Ko2rekrSiux/xfhRzgs0PDmLUWOgCUVVhHts qP/pTe5bIxM5Bw45amYx1fYZ81ivTkC+2cxEEbQEOJAw7Qil+3NG77/A4ZrmLnzwQhUDWBHRzI+E Ht+gmjzeNl53YzmLFj8D0RTp8fdRtu+2Ba2Li2+ZOt6RGuLvF5h27Xux2gWan2s6ZpSaWUgpLrcR Ka+NCebpuYmI1iFG4SRewRt51s59jDm2O/bLZXtvRwv88vq+nYtPvo8ip1Rhvh1pOr/At6KNIO1F RUyHukwY0VHlajYJUR26lrnOnJ1QwIYXSf07LGhsEUiVsUnuXxrmq4rdjUx/CkVSzFqWz/UYrJ0J fRGUiiX++stl87m8GtOy253qM7bd/cSfd4gG/sgqVjEbNy1zPhJjStmWBPGD0ZJovTZ1DXpMT5+d DRJyEcfOILy3gkk9J2FrObYyvw+Exkh0d4YMVW+7bGJaGc99IojaHUpFZNo4yG9+ftirzdtjfDyV wFe1nhbkwc61CXw/kq7kN7f8OY9OXcm8PcFclt7g/7JR/v7XUlXMQEVZhEejnUzh5vJwkAbAZ/4u 2Ebj/eAsOFhqGNvvsNaKltv4LPbvmQwGoG7iY8HNOG1fDsp8YT8Fm46CjhQIJTj8rZj2KxG7XlBQ DFpcMuvqFptpbecakeuDwoeHPol6mw3S3+weEByf9GvF7apbWbcNrwEHFmx5I4yCAgmY79EqSqRR /GJDbTu7eILHpD8/arusB+sozgTsVwSObbbiQsWSkyK+qIiq69BLfxIN1KZ7mk/EVQOEE5x/Vqll hrm859k6Z0QG05mfQu5dYEKzWXFxJfk3673DXCrnRtekwyeXnMhGFaRA3U2EyRXPlc1xw/ofDTKd jmhR4KFTeazf+Fu9ArwcL2fycy1BPcFGDJQZrfu6vyoTUUtbb1WgTlFq/84fRwV812fp6Voaphmj y2ARos9nnef79kWoaK+uxIylxm7d0aHpjqJIQM/pBg7uSl9Hjq+kY/8GLQHOIb9/KpEKS3mPZTgK Syv93rEtDU/Dt8MYX68AKkM+IFVlh5fWICtuK70hlFHIXXKVbr0XuXaaxUQZ1cC302APE0/p6H7r HV3zVv5H8kBbMZG5r9MLEYpEKscfU6AZ9R6Uk+knvqbgnyiCFTI2lg+WGtlCkLSMhxjbgmCyhsrv 5wshDMYzE03ckqKuIi+qvaLi/rxJvhqFAtRsjd9xEAamwRyl6mdgot2ODTCQcUpvJO8Sr2VlzZlK n7Vql2VbuAA7O0I+VUjfu96GoVdnn0ynXoTkbOhFZmWudS3zegR26WKoyFfgSSRCyDh6FkCsY7es ZlTLlnAwUMGjl6LJGhZYHHC6WnxNIqaiAvmWQ4bYI2UVHupCEHlzdoU5rczXlnPvssq4Kx3Bs2YF oQKEpKlxaxuwF2SH11z3GoCr2gJysLK8qWEYDruiUBhQygqkvSgFLUHCRfae9dYWohMyhqUow0ae ZJM4d8e6I2lSaye69yVQeigRoIzwRZ8+Y6PPPLbTw54yCB5/41Xyj79oV0RIp4397qoR64FCMxMU 9QxVvJSEh83BwpY0L0n+u29rk51Q1/8ooronUyevPAHEZQnDQFyr9OUDFVWHohpHBV4KcYviN4Z8 0f8xrR5i8Z3OBY1QfZYVspe74OdTz0j1nSB5hhcvFy5i032OD8zKrvmhaAC7SQ6HepHmkrwb8J/M tlFuqigo89EJ6qoLARrK9JDvoyKGUokJmlQKEQjiUDzHUuLbMJGJ8Cq6kVayQ8UonlNrb85d5Clf 1CDw1QO6/26nT2kTsKSwqkfPUgRMLpJrnJy9bsYm2g/TGa9c0c7B+zG5ZM0nko/w4Dn3QktfvSD4 eyGqhsWQ0qvd8hiiQC1LALy5bkGAOyO01M9kWN9eXfFLcVrtQwuXjs2mXegLF6ltrVG/L5gbHJOX S+KDIUFyH7PIX6eA6cGZwQFGAIl6sATMsOZ3E0BYEJtOsk9V6VQEjzlvX3rAijF3TmAjaZgF9gcI oyNADAauHAom5+Emwy0qXfajOUq5tQwOjMsbdNz/hY3/bcYturCql2RshFBqXVe5GetxE/K3cscv Zl5RX+tGwIs3sXTNyJ4CJ657kc3gKfeJCPDLQJkAYrf9vaXkOpAQvI1wCeO+bxoDCfWRiv+1UWiP RTMJVPKB0R42YNNJysZY1VVwKy7/zahydirMm53TJ89kxOu4lq1kfTEhfFfVzsb59GCo2g2sxJzr Zcmes5zTHPE0ROMvrrRcFKKpjZ1y4WmJO0gsHpC7k3JCtlVOybXrDivKs70bYfkWRqwk3t6Lo7kX L6edTQqZI1IlwmBTCbFuvOO4PbV4wvT0YRdWiWgGVAmEyfZfl0YM0ykcIYHtQD5vptm4r08n9Fb5 GQ0MoB7115vFD3Xn58gpQ5UPbbkgAn8mQM1/wi9UnMhQFOSqS9XBZSq9A+oL/iaoHJXzLcDOR6oH AJhS1z/TUO9jUklaaOjdpRg8MFQk60R6RZyO1mo8f9nAigx5aCKnq2UULR/y0pswHmlmf2BroZn6 6wWOODUI6SZbJrJQ/aeXz8oe5581Bzor4CPSjYZsOeE9vJEYQjwJoQXpafIEFABcOmRLuglm7u/V Dl6qIlg6aDdsTnLXyoMz60b8Fpr+f9S45eavZLlik+yVfbKmuaweTkCQzYELICaPbyaXdPSQufSF 7FFLV6cobnPa99rp5r8q8x/F/6OT4U5/8ddF0fXG0gdM/BkRsvQDgMI3oyk9kmmm3frcNUNBJWso /nr1h0zKxgZvVjfW0MEFX4GOeSPza7pn9+mpQ19Kd9ovAZ5Hh58R7SVZKAzf5XlQlhsnbp3F4wXj D57X9onNMVcnzcTXMPa9N4n84/Fn1clXT+AgmaLmgtvoj04lzLYXPCvv2hcXRnKpl00SyDxhIyWT SxHcOQffkvCOfbNl4raxQKQrbiUa0FrBNFiYDaELWauyMvnkoKTaY+vhIbQykzCQ5GZ6zzcFKyvq 7yZOKcRt4B0Mr9amTp/ib1LlKKnp4uoG56jXTcgWXYXfOgx90wqhqN8u/E1WFxjr1cfzMZaLnXEe qTczvobUGz0fFgy7AKqeAjhbPQf31BxQR4CI37NZSki2R7R0viY2BxMtTjhk+WHoT12oEM388Crd sqoraEAkPod3nnl+cq+541iAWAH9VmJEULY5QTdyrlIB0euo94pWvX4RrxNx2bs52drhkZlY61Kh nhrm2OBWu8R9L5PBXXXSMflK5h1JnWcMSdRxlHoHM4+BW0L1fyLfw+sMbXvuHhqtvcQx4e5CtcLp DkogMTt3/3QqtgNQFXWtJOJSLubR9lQCmH3u3K77sfJr/6PGqezgJyMU4z6CO8rD9PF0RPTmFe4B NDHtuhyeEHv/+Exd8PxSI/+bVwMJriB9M0mDynbDHEfE7uiRKou/Vh2gqCdUhAaf32PO0brTB60v ZlCshW3U4c0xiwcVdurxjnmMRqIkKde5JSUAJyI7qgEggWK6V9/E6ycNQkLdiHbYgizyT+EkkA26 w9CCOE0o9k3elywm6gzT+zvXLS3TzAc/R5ffqx12CK6/VmhXBtlPLdD0CkcAigbdeBf5/lo6sddR y9I4piFZaf2OIUSMgsC4+doS8VNcm9RuGakcmdNeEM6SoElScvuZim+kc8vKljg8RZzQkhVh74bh VUeJMmnebujN6sW+iAo6L0hFy+JpesvVgF3fZLd7HdQtJx+eM7xTNHX2NFKXvW+B8hmthYVbvvbq 16QMaF7bi6F5UK/ggGv/1B5o3+RKU0AIaDk7ygj/imRhsV2WHAUO6RGVOjqaPNJojPD4PFUnTqKu 2XUMP075sdMaTdr8G2mpF1VAoTKnWXHmluHeiwJoI/AETlK+dUn6GKOWs9aSp0B60DcC+cBon5wb 96/7T35eD0ybY9UbHumFMv8ouLBKwIQOZInGXfgKCEhTz8HKntwjN47XhFo3a10JmYjc9tg3T65J t0bKgK8YFpgJ9y3Z3zarZN5rWX45LBsaTPlY+N1bfYqfbCkXQJI3XgYoaGoI1tzJRuc4QWggUNwK rY4x6m6cjaDUhJwEsQCsYqd5KP3Qap/+kMRe4pnFebVum9M2r/igpWnytV0vZcL6P1tl9W5EuL1Z MGYqDlKWDgBRTVGXkX9qQg36U2aPmsbqYdA9/1RwlRRt3oKSj21OKDRkQT0fggduNchVf6mjNgDz TvfA8K5z4PG16W2SUtwzKnqRT6zmFPGR204Fq1qY9Yl+whmPTsj4o/mkbjki5EoNKq7hkA88lIZc vyEgM18kXGLFN63FNL49HlPJVtcwDHVlbyA101BTMHwrAzXm7DW3HFoXmDRNQIGMt1s3yz/5n8+6 4MbtOY+jFsu/pkEhhLS9qBq0BwEfreAFTUB9leMLLa7B1IkxW28Fmjb1II3k5UTS5/E3gl0p/2kA YSC0AGgd/Ms7CjjxMnCphPKoSjRqAUIlA9MUS/JlgYRFD0fMecXMfgyYUtcXRxg2LsnnmXM9pEQv /phnMkgJxTD7P9EHSVItnAhsqrF7c7GIAB4gc/QtOGHmKlrQTziPPvqVH5gmQS6OVH7pjS/YFydN NCjYXlk1Ddw6abiODRl+89QHzHh0nYSJY2HpbWsjVKsndvMwFlrw5ouuOiChUnX/mZ+D+f2TCGja RGLWb9QORsVkO6w61U7d42RV/IDwbwAXXxoGcDJSTT9P3BrJSoMCOM91RXk3kxCDTFaHwtmO5QYM isiQ5cm2b2us6/K2uLga8wfXiIih7RsgfiBQBjGBxp4g9HrzJorl07GCzScUhgWvWzXJjIeDkkJp xnwbyqyVcydWRyHWzIdcaMDDtYYIhKBs8sk7jsstv+x7dIO9AkJ1ewDOiPnuhWiGczKzH00Jx5Jw GXbdc7fgdwOXaIH+vpYKMaVvZTzp7rMAayLpb1S96m759dE2qCcIGng4+TVZpyl6X9xoAtXCg7Nu ruaDvk/5xh7WWEkdTkazCHNovhNz+9lvjiq/G5eI0QaHU0u6Hb3TjLUYXXF9WxQRVdpPxEiUujH/ ImVz/G1TECoPqwgAhnVmT4k5lxZg2RTY9CzbFWGXUf4l13Qz6IvPq6jTW6tjRWKYNuufbAZRw+An 0kAw1bCh6yIVf/zrz2zxAH9N3CyUdfcWGN9HBm3VVKezTeLCPlha3DFMOlIKOJ0+TQeS0ic0gHnC ZI3ySkJv6kjy2HsWPdKsuwKE9DR+m5wR3IVOQqPCh8UesusDP+OPKNF6A3pW0wvumkVo5l+SnQm6 7P53/sjiaMTqAiJgsN4CfdpocMmmyhkk2vaZ2jmM2R0hTYXJom/8Joz3kLKR4mOLv0Jt8ZlG8EyF VpWGPyF391DxNS3SDyalY8u/8knj9uYmIqt9QmlDNzhW5YqfplAdoeiSR3H2cE7pkPPVzTzhwTmM zGhvslcdNf2Wo83CmyJcwpjZZQse6FFgBaQD4EAU5eeJ7d4p+zJolwxJ7WU/3DmJr9O5V0+BfgOx OugOX5FQpENrhYtbllP8q4+gXNGJLT2TUsaBP0siocQSKIGNf0Xm9rVVL0wAorgGDGn7M51OGLIF Z+32fBXwKS7xX2RZexw2We8ZX7MovyFY36gVt6WPQgdyowt9T2ExI6DIfCXiH5DfWPGhTT7ewsHd xyCUu0dbvL9+xIvnymZ7NC0fVaoiPqcy368q3BtU8JhYTMaDzAzfaoQ/AFd3lc65eITcQ9Bzsjp5 p0VxfMMFSleFcpC/HFs6f0g3S1h3xXe3s2gmT3qe+SkAmFkx9sBQRdaP2+6iyvMQXgn5D6KHarBi VZ5iPS3+kSx7zs9pL5W/DZlNAWJrIqiotXigtqDyvG9Hzk2jkefe6smdGf1NgoyReV4CMckcNewZ JkWkF4zZkHAxqSY7G5CeKgDmYe0B6ajhopvg4P2NfkqPDuS82Ilw2ffP9ZM6Ptfi/Slgz6GSB68a isaLrSrTZd3JuH0V+lfVZUSZCrWScoTb2Hm/KT3G0l1DyGo4+57vTFZ7raGBNF60wrKlenJS/PgD P+9CJKHHG0WQJEC2rFrA/QhJCCZM/i7Zd6KYUmJLZxI1kAZwuDRfGBOlwjFajcXZukkWo1Nh9Dy5 inIb0MDRPThRV+PTxqSC8KrBve7kyL9NzkpJJahHeiq0Qv/2IMGvHXFdMdLMweLTT+/gqlipAGci xgdb/XleEYvLH8dYBIE3bRob/6g/+/JNzYXE5wjsjUYrFdqxhxwLZZYE3+eTlMxx2yFWFVTKr6u9 IzOc7bPqZRgkkxWurnXp51Zr+OrOfjDHhkV0S7wU2w2Ph1KByZ6PkdBR6wktvwF/5saDNxQPttWH LjvEyijIjylEohCZJaVRxuDtLZVMMv8SeKQPW3ULH9ihtp2nwpN9kFLvrmKQtdbAy+54bjxsgFdA kRD6ULi3t5MHZ4/Jgm+ayI+vGB2FSzyKvvmqzrInpntv42E7NR1v7tH4Ky69UQRG3gGATc7QZRXY SDTRlzsavT27P/X98xX9VSaSdwpXG76DIrVDq22KHVToznlZJUjgLVPGmreJXft7Ej25jPYWGkSJ 9jQxMojFT4fFG21CIPJnKtAbn9DFBzLLesjXOmAFh0DKQEDEbzDirSNIBIKdoO3FSZU34xGHNYKy FOYkrr5XlYL4P6cE3PHULK7ieMzru29CfhiBgXflqdA+1iuEiSpOKbWBfMEI7OVXSiQoUxwDAu6w v4KeTkoMhnesmSiNc1ZYApEj7Kl94L9g5Z6wndaqymCR+8ECGbcbJnF5jwJ0BB+Sd+d0ZThchSdM DEgwQmmbpR26jmfmPBYhDQm85wV+4BoZkfouCxRzCLAgXkuLOtmNczcBanOOfNQXiQOv8gFrbgui g0+77aXB0dnNzMesSi22wjG+StpBdjgc8Fn7VHcrmwzDYUb8w+8aLsX2kawPqT5YvUU71EJ1tTsl bTUIKAitL3vwWCYPk/SI+pDjAJZbrPwcbZR02v+CT+18XKTO3yGaXasvuWhgN5Wdf4iUdRRgnDkP MkBMhBZ7tYzITfZDJKkUOEDzYwEwVDjGszeSH3Dx17tD4fTFwlAeVM27gKIdGowEERh3mj7RLVV2 xbvhVneWnd5vW+Ipw1l51Ka2wjwvA6xYMnL7VTvWAhB0fmpzjO4cIbqmfXKbtSIenqQ6jq1eI0Da Iy42vVdOF2PQ91GDEcJW0Mlz/5F6o1dSFExzNQ95bxtacFZPHZondTEY13FlYiV/lVPEE0Uk7J0u O006Jt/I/+qjrBP6Plmb8cZPi9fXgwn3Y3rUmBn4PIvC3kNA4RGapSn2Ajmrs/33jVWiTUsETADC Wdrs02CcnqdvwZC2fJIBLPET5DzESezxoOM1MaMsK0wBMyrutdd0k5NOzrVH8TCu4lOR8grY6Hkm vvsHT3HNROAS5pGd5l0Jc4npA4UY+ZQB1ZiQzfNgs2lmTey0Cbg5NAg1IfT7KwzIBGUFzO0d9XQ7 p07l7yybtqw11qbhBX+IuCSCX+twrMqTp/B/d9rJnHecUeSGxG13XgL9/lvxSbSM5+Gn+YFw7lnd ukEG42YBOsyL9nQ7Cbnx07SHUMtPBO3dT3Pib/jHOMCohczU4gnLxnMan+frnGuPjMH02efJ1Lge OmXHzLMykSC5KGzfsOPRj7GoCttqJCuSWxV/7vmowZ5GUJ/1Kmm7Wowt4l2TORgAAqi/H6U7HiXd ZGmP33NKzvXdLazTJEhz1ZdMqviveoi6dM3KFQIO9b47JaAKGr00dHN33l1ZwKQ9v4HJq/XaROTC nPlRv6DZepFKjF2xQcDQoO08bSr0I3Bv/xTPSt0zvkl0t5e2kQb8lZjelA64VuSe9ExClGNVo3dX 1HbJuAccu6wJyIhsJ4QeUWVMEPQ3JoVkqB6NtAmzr/WWGIk/fwjGo05HcEZ4y+x1mpKT3dV89WML 0rVvI9dYz1NQhyMxOVCxjfxwTWylvCF7LibryTiaqcapXFZ6drsOc//UCj8Kkk0vM5Ze1yPKtEPO nSvpTeX46svmp9hnOjpCmoV9X/cBWwaDDQzdMkxsmctk81CRWe1casjyEKx6DwcW2YZVaMyv16fi dnxtSWJkWaedvnDUg4VRJfiQ9R7BFAT274+kwqTRFEyn0d2L4VMOz/DbYXWFpnN0sPolr1VWwAF1 6THIAPPWlqyjqOBxMSBn1CC6ugXxntsPqhUZsm1mqlpepoH3ldSJKpkLY4zb3RN0GC6AUwZb6xIR dmUuI5XsEmSW7VzRYIppvP0COuZBaH1SiUiv6RPvwYTwwtFPuc0m5a8jZskckVeoDzd/bX+Go6U+ CNM9ehoJZrcWQSiVv555rGrWMZXmm4vPPl0uzT1U8dOTQACB0oUxwh6pCI+8eKugkVkAQvZTbeUr t4YMCX5gjuT6qQVYVv08ReHNXAWEYmjmO5pzOfELQ/6guFAYf05M+CN+Iuffi2NwA5N6pbmGAFl1 BBetfYfKgtDh1bDV2VcgscMCBpa/R2DowP394bv0cchwD/sL6bp4CaRt4qBFkGWCxMOAfE9qhsO7 ej+eAH41glVZrIXTxcdW6sFBCeI75nWXBPS2Dz9z+gyxix/39XJ3Dh6IsFqWAUQRWvAj7QkSNh7d 1T2XgzQwv5mZ93vgVVSHw13Ha2tjN7I3lI66UgpVHXkOYSuMFHPAxXeiWXRifSbRPXgTSBVyd9Jd md5mdkeEtGmj/d7kymIvjHBF0qJCFrU+E3w83JydCPXYXbke2acFKPlc8rtt6IukOigLvjyxwbKB Xp3Xf+h0yUWEfOIqoEuTHMoLC0PIOsnhx8TMQGNqWFCImNyg1GSBy2ARLjQ5J5ObU/k68967Q/H5 CkfCSx3Q6+RbpjMkcObyzoRTIXLlVXxfiRb1RBmMKst6vApLww7s+EsKwU7Ubmzk3Zj0Ztmb3bgU ty0OIu3/3mzSM8Ezi4jjygSjJjUdjg2VB3wyQ49RzkNBgjB3CHwF4tOacaDK54mLr9Bn8UOuG1YR A6yJMXvPvG0nTQuAcVkpWkWt/MWj6mfH2UBK4tTzY80Tj3PXx0UZcMv++2kOoKoxm0oUwimwQvdc wKy2S3/7EeA1KemQUODpdSyyJF8f9vsrgfmTTsCwoTrAECDfvvRf9EJyRft51KWTsvPllUuL8E7T 14C+CD4o6yLiUtSttJYqQxZRJed3fz3GteataCJW4Piz9BwxRq+cDVJEo+LQ5JhNZ85l3B33UNEP EWjhxvj/e4OMSTLKbXBD6RJvmfwdB6ubg5kg7Mov9guwAhpOecg5plUZvo7oXmsMxc2Z15YE+Nmq OMpcl158yULHorlbsKHxtzgnfzIx7N+CcBZtt3oqtCZ7xlRFWNre8+uX/rXwV+ZpyOOzHftKLE54 2jrYC/CN+VATt+2VC3ek+VmcrUgo5VzjXukteJdSA3zVx509t39CB/QlfJHp8/cir9rTNUNkkN0b tzvQiC/TlXGbhUcgvKhLP/85ZsVOen5yfuY42kwRet6qeJgdKclENOb8iD3ojCY9STruoNXCP2Gy qCj1jmjzUuOGFyrOdXy2DHWkECMZI/vtVx91hYD1iCv3vUCKYSB5t3lbo27E44hjFEZPgVipv+ok Rbfh/ibELuWMcDcu5m3/IQjf1754GNE38I+3RkNvpBc2Lp8kurt5UFN0/+84UC8rZI3lUs9M4/TD eK6LJ50YNsL5z1ioLazP1jBs7cNXoXaJxcLTIG0DP5jmRbMmaa3jCutYc+Ccqe728HNQs7L5oKyU IZfagbi28S5SOgNAE2A4jL54wxgww/xFICPh4Wpd6csqhrOU/nHtNdHoH0fNH1+9dB10DVYC01Ai FxDS7tssMQ7+i6iNC9Vc9N84U9KsDJ+s4BXzZ8Lvkh4xB+gVvAkVF3bnWAjLX1U986RPOQFQc9id meG6q2X9gcTF6069d2446B4VWH/so/On5quzLarsWGDwJMibRFKxx64UGeLZzXxUwyl5aoeLYxI1 qEUYvMXx8h0NvABUM1erzhlNy1dVhvAul/sce45hMIP27Sb+7r+/6mwg61oycHzjqW7XjPHd9LtY tga+hivGQitfMIeFtG1tySRwJrn3e33HWZhiMpo0WlnBaCN/y3aUWnxeDmFJtt4sqaBfTFWHx6ZH +0Sn1E2/d0ClMnEJQw6KtjgTVYBhRZT/gcRa4c0RZ5yKx5BQMnQN9onGVZf2HfXDN0wsx6yfcAIu r4+EWpoI04/7A82LUOVtyOVqMfWXWo2uJxo3yrkt9rHqBx0k65WYzhZPAnzlvN/qQ4fiXow1O43t LWDunRbeCEpPz0V4PuB/QsyyYxgnIC3F0jE9dDBGPSS7/JrlX50+W/gH3/RErXr827R9IOxF7Ikh XZPPOcIb9BR1+NhjYeX0+B6hT2B7oRldmHJWSj9sTTDTInhYdWl6rfHCDi59Dfe1uhoH2rdi6O8T B5jXrvctCyocFSqwjmTzu/h+bwkDnc24bnQtce4WL5Ek9s+tEuoaWwtl4k5v+sriApeQGJi2BH69 RqRQvFIqvoO8ze27BoZRphQDDuqYKZY5QlYrxNuyut7n3U3vO9M1Gxdr6auMK7PYeTE0fdnsEmod 3m31pEICvKKXpXd7t6ONC8Me+zljZILhXbyKv+lgty0tu2QTHjPsDUpSGMi5yaLt2FWnVWhEX+Xw 3qDAhCRZAaql/dDMHZWQnhaU6aCbnw21GHuluoRI93n8fGrFymdm/dauHpHhPE7biSh4xORt3bsQ lwuSZxYcxNih9ElRxH4XXkOcKqgbEIewMn4pLQiSLYFgaXxWCQikj2iP4Q2egJEhTVwv6TZRvMAL 71hu6A2KgUQ8fADbRqXIAHNHfD2qsGNqKG+exQCZOWgDpMYL0mOUGwrCz8Qhy8VChuUrj1HkdGEi y/pS8JkPXNTS12QokpGJhICyJbGB/iKJr9o8PIGdfa82AsUNmV72CMtQmOp3qt3LzwnTrPMUG1u2 TjAr5v98vpYJx/3/odbYSl0MnhHlsQ6xCJwDsxWzryvrWRh4tjLdnnhv5Nz8upXJVPIeb2ItoORB k0DRB1oWEdz/UdgvewR+cgxYh+jOM3dns34hUGJiCEqTMThu0ezboDmOhSGxJ4ww5AueS6T+36YL Rg98zClBahH7nJZSIRQqFPMRLKSdM2aYc2I1ITqHshcG2uw+lIYH5eCgqykAzTQ1mCUZydi/Uf/n 4Qjvv/BCTYWhfqN72Z3fbKnXTHFQ/dflSAAxq6F9cayVM+367u7IsOCJY6A/6Buiyjsq3yEYJm2V zV0IqBiBMPZWyNjvdXkMaSzDpgFfIj1Dky6rdKiIrMvAB7cWQeycV0mvloow2MdSfoSOTVVdtF+f 24+vX/Bz3jD5Xo5vz6FX3u/zTmlSQh8dIAtkl4kNfSiijRUEnCFQUwatFAKUBKzIVUcHf/6P6oJx nrYzAMAj8zL65+tmGIfMQjr5l0SxTGi9dtFgpkMleBDkiIAIs1yQJsktdcC4/iyLAoX2FUGlje39 1ovEZyCwBO/LkXVGp/Aehd1OkBEIlLLJ+oewp6zU1qHgjE0Nvq+TNd2VEaR68uFurseD9hdFGidT dwnPZBmxKKfTwhKj439tGjF7Pu7rKfKbmUl8FY+UAqSoOJMlchzzjMzC/rWw6JFwxpaVkzCo+pv+ GfM69jtHJZUhN6Vh2WMRKQevhqrBgIdp781l+ev1adzxf/FJpbXuYwAfB4M+fhp/QLUpKxuKAXkg /pWsCPzNe1NRVoTP29HYtdMkFw4z1Uc415kddU0UXgYrZw5LmGJPh4H/n49K+4BSj7PXoOe1Y03O 4ObG9iXn8pwqKlSBG14gs0wdiVfj/ZdtGsRPU3GS53pvsdRfB+vbm4GuOzlhCszuJLR3aWIaGdrW qhc1V9q24rRVreVZ2ckltlHiNi6HqL4DAaoSTGRQpwklo8/LPOJcj+cHnhAcN/fmU1XodByQWaPS yOpikZJezwTANqSimX+SPL6ykhgKqYMGrydP+I8eZUxr5KSLhqmd92Tz5Ls4llkM1FqntPfJrklu fhj3rfFSY9yOW3VKlkkxFlYQlRGSuL7J3ukbgw8A6sQGD/qr138D+zWmrb9x16F4P6eN+0ZAK+Ub U7Rbylg5Gn9hI/ldxmhV6wBhI/KRD2ATDs/x9CUZRyTWe7qQvdvNAqw/tlhgX9wmX29rDFEcQx5M 409uudSSL9KnxE9kOaIQ+1pbO5e2XdrAoqsuZXtSMEmec0Ux632B6rnR9p9C72949iiNYEJT2gZD gxG80oAndqfZwdnJoXdK+WUkf/0b2gP7+i4gL4s2uuwplaukXmlVlKzLA2g+Es4GM3kIHUn1Dxio HWeUYmfyedKVGuHB3KvwQjZd8gR95K43nf3KZ5E3zs9cPZsXs9UP3Op2zrkjPceDh5a0qsuEFyTH HDZG/93yeQSmGpt2PMJfJ+HV0Duo6HeXqZm+XMF44heFL8dce8pHWMJdZuDPWekkOEEEtIyvCP4g YtfcQ37R15aRBakITDTcG4jWpJH1LxQDeg7WhdqUiX2l7DXWM2UIAjnqcxpJ6Wd2hm++SjZanoIn jSUDJGIA6ZgvGuUMshqFa0nitYbkGeiDhYXaCrlLQd39EAuh5Etnp3D2N23DTrD1l5qgMuAfw2I+ mTe0V2m0MiZdB4AlAFEimiU7ldNC0D9Dm+JK/nN6G7uFm6ZI1mn5KfRuoQbj4Gjy7Xc6tSBM84+p u83omiSq0DLe+cC+JgNeA8/vLiRgZlQquE0S+WBektResQ9ZC6pyikZo8ARPcavGCntlQ2ORAyfz lDJk2PMq5i1mVlXJkzLXIjLpUcTcgNY6qdWvX/+Wmn4EuIaayAv8y+sfq4JLR4vjG1oI36a8J3IP Nin/cGME32CreRaxx3TKMH4nzDfgXtehdhf1og35vfL5JxbEyNbzrSgHTy4XUQ6YS4c5VyTIjB8K x51kI/rF2LCTVa24wfvJjGt+5b7Ilpkd5kB1tCfE3Zy8/0eYS3w564/aaryCJsT/cbd7MBRxykRi L9RH3LjJfTfnnkRulWN8oIC5beIks55OCCvNbFvwhx4U2xTQ316tsC+6/PZTc6yybNYKbcHDD7HK luS4sbXifXPwAAf9p4HZhaeOV33aZf5X7E1zSS+XUuY4mUy6E70rxdC5apUNfYK3MNjmJWy3/0Wx pvlVJwe16LG+ylI641ijBFQFHM5rGvSWGVBSPDTAjcqwpgrfsEFW+GPjFWfEtYfeJ/lGG/9K0D6A kb+92ZxyJ1DWddHWOfxD6RasJD4k3pRLr2A3PvkNVs4Ly36RN9DrIvMaVulTBx5l/mvRRV4ioBqV ltAbPISC3CccHcipHDo83NYJgNMdS/Mcvc+dVTyPg/2zOdtaHpxu+DH2IvLTaAXbgK2M10ZyT18s SUsgeVF981ubczMcfOR8CJcH8W6r/FIoJQQ4s3Kdbmh3UKDE9uuBOfWsqyRLIHXxl1bsWouC9+Mx mjPvv7Zp4oD26QGicc+g/oPMTg3w43/WvdLcZNrrKnTkxZT/CZUI7ow+EyjDRzHZeLUKTp54cdYn p6WldMbVKLF6CPloj2jzXx2/8bxQuHV8dwmkGm8QW8xsY9WQfLW2k6ovToFAOZKikvD2LYDvuxXD sfcHSiOOelj5YVCO2v0ANwC5kTjHBSfpMfeie1n/HMuqlSwynY+/gGFKZ0sB+Nx9DZ7EPG4AEPeL JbVfyenSbAmoJ/CRiGW4ZSv5d3Ki6nAT2h1sU3DlHaJr/L+kmvFbTvyOn+FhCDXVQDnY9ndKioS5 qbI42bhZlZxPQx5XrokvVqYUqPwqdznHu1Kdf0uJhOI/tmhdbwg1J/fwh3oi0l9rLfkRyA/KaNAO dtWx5+UIZHSQTzjg/M887DukMPfVowAQzXA67uEoc12PzNciXzeKTLEp/ZsgJH2UMythmzmBIIN8 Tc/doNYcKZmc+CKYc1F9w5hrbMnS6GH5sShASEPwxzrrZGhDYXBxQyTTKhBnEeH8ioZUHvZ2l4HH iV04cCb1q0WsS41y0SxSNBPunoCMcx9DRweUfY6r7TXxo/CXgwiYWE06HOVr9nQDAIOfEh7y6QPX R1w4yBYcClMQIkceOJ+xU2r+fTWhzYewuxxM58/d7twMpkg1wmg0aou1ukgquTHzOzamnRY7w1NM oCc1kfhOaojB7LPDg8wDaUGog45AT8VfhFT2DoGd8HsUvx2RM0vc4MC0VvF19TVOnH3ORYKyE3qE NtmGHvHUkFPM66Y+iLBegknZuRe13H1vNkDiWFL9kBvkPm3DNyxGKVrSbfCq2F6jlKWIlKFD3hOj TiD1ivplt43wrXf6/unHUZuj5xPmCxy/WV+qHhvSvlfD+4PHipkP48mwdF8fY1BUWgdbGwfslEhV +/GTslietD/KbpB8HkhfNosB8E40F3M6EThe/VD0+gY3RPyUdEYuyY91LQC15nj0U4pRlcaoCyx9 ib++22UtcEmbKxaJjXgd5PBjJhOJcvHYDVdrfjnf+Xwd7fLxc+udhOLJZ34hy3+XS8slBm+7IN4F tMdhop+70ITMAwz+TQG6iCbXWpSdOnqy0Fjtb26v3Fx+K0m/f0cp4RnD9aXcfKkMzoPwHPdWc5nt p+Zd+TIiZ8IwSOmlhX10GPuoYbxR+mjxwtXWlrgJSIthYMgGm9Bk4brCbau4pz5qj385Y97mrS2i kcff4FfBUkpiwbZbkG2+w7NYGivWb32bI2S9TnoyyG9xoRhCDJDUXsxY+BR9DiK/gZTV20ttLkOD 63nR6MvZIhGM8GujrBxHceEkSmAnYeSEdDYgkSxp1cL1xjUXWeokNhJrS2xoFDhQivr/+dTKQXUz t/h8a+moamSX3crp5jWDYQe3GVeauU+B6Rpw50CWDhN63eGXBH5E1vty3zVU5SiapeuZCyAcAbBD MQLyIMwxslHu6MkoGnXo5zerPnUmXb1yUwgJLAGO/gUqD03uCPO49MT+VNiiD7arLIcUMc0IZdP4 0E8/uhq0HpdQO7nPIbloc6Ml7fgg6pvtlcZW90zbixRF958hQqpL8a+L2ufTh9cOurpubJ0NjgyO g4PdIgOxMEtpOmr9gA/ch0lr5Rr+Cc/3WCfA4ABY596XZ9VBqcqPLVDKgINPZmoaccH0LpWmftS2 2R0qfjVB2E1hEXYxcUdnV79/k88d5XYL8m3jHK6rlPf4w51gGooiEgh518xvYsv832XLvWjQy8xv DeaGFX+XQ5O68WWxYguk5tTr3QBQ07tT3Nm9ImeDSDCn4KdWAk/AGIVio5KDJKN1gC3VDl/2HFAR 0VOBreYhEXYBJWCO6jKxO3P36a35LdiuJySDGFecCCWIgUVucczuM3dzu1xLa7YChOMMp31fuHr5 Ml7gnPGA1fEjvXveZDvt2T4q0wAq99dpHxfWoIJiRoEs1beBYfpbBc+f1auW+ngTHrR7tQ3PL9qT DMJk6XdXJC3/qbRe8d/9HwIgy+XLvdOxDpwROAST1hE33bQHUCaToLQMoeDQSTIQkbiz374OpwZ/ G0mbubluMbRwMUR9az+rIrZQFjcMzUx634jSZtUCLTpk6al21CkNgealsE7XnsroflzXrjXLuF9a wAQsQYpBeMXKR/jX3FJbi+uu/IryIZqPteIggj+q99IfgJcPDIBz9rwrAHaoWYN0XdOK3fnTmU4F 3MYAAnq8c3Oj/fjd2iCrkN4euz296FEWbTChnAv93A2vOI5QPpXI9PV3+ID65pbVihDDwaCM//Sw LdWpwaazG1NH66bp/dn6W0U6eyZJanjfRqNEiBDtUA1nNhcPnMiboTBzFon0xqR3cmAHC15gCiX3 p8jPdjJv+ShGCeBRtJgOfC9eZbVEDnz4BJ+D6WQT48ouhxhp11XEnsUhJPJohgzqOdEvQMFlxite munwbvfek1hVn2DWlpoveIut4P0Woxi5hkGOYYbn00wsRejw++chVoawL892PMsUSC4xLwsCDcXd PnNIToz107p6/YZDntZPPjwCgwwkUjHn3PArj8cJ3XgdCmLI4Ep0BHB1+bw/sMERt1yFlMle+W9X qnGb2yy4BbRiG8qdL0lXEbsJXX40NBHirgAwHXOfqYzHrjHVpy30BvJJS9LFtN6SMytTjhzSpGDY xxkUamBq8S/I+wg0sNBJdeutVCXoFVNmsgHivus8LW0nz13+RhccTOwZqLkAN1+ifBYB+pU8KVDY FKNLciikATW7z5UUgHfpzFwQ+0bn+QvtVyOT27aAvxAhq/ZpIN8vVS/IafI1+cyEuM6bYFibJvmX MVJsQaIxqP7RFq1/InTbHCpU7YlYAHVizIMxRyMncBbXuWGnH6wQh6UiGQfFw/wMxW7YifEL3D59 M9ompjFWf6Bg9L3gXwD/itYxJMln5pVUGXPceSYmAVc6UMckq3Wg8zxePjaD0N6QOBhoC+ZuRig5 2R+G+W9tyUq5jxi8phaSrFY4WrXtrNSeFUzKtX+P3RIWX20Lypq9OfAIObjvShrgoNWclqdG+EyN 9PNm/kTXfBukBZ/jHTau6QAN4x0y6KQVsjM/mrw7rVgC95UT1IuyntlKmTO60e+aDMkieySh9k7o I6rxGETjk4Q61KRiChB05YqdiLdns6SlCDbhIOQETEh5RepC4b5MOkoc9oRYMPVVR5wP15sCnlbT Z0lFo4AVKoxva6zyrifU8TmRtnmjehS0wIL4fplisMF/m2KIAXDPK2Lni7KA2Usu2bPfz9UoEGUT owPACFXkWxKO8na/OY+uV0MblsW9+GP3kA2f0dzDvNjgbO3aOsb8o/z6i6tW1L+TiDg6rlZKJrNY yCkemDSGCCPhXGxqOjxEUJvcD9N1kudvWphD9bWNE38LNu6wKbcWSeAwXkTof06tjmzbY7HJtDIc UmxixE2xJ1IX4kQ9co1xkYe9ZwD+x3TG/DOluEMZAYUPR3WVeZdJIG5XeygDhACXtEw5J6C9a44y dRNmCBslrRn2ql1M9my8IZHxe3M8crRMqgdKaFZZa0SgXXE7jcP1zchyRPvEEBTpxLBLDZJPrMZD i0N6qhJvw3bblNMH+fVxIsKFdt8yzHxukmHgnMxsvNP7dn2+BtnUd1sPWgeADF7G5ib4Jl6oKuZ4 Pd0hXhC0cl3W94MEay2o3DnIR2Os6LqrpvFtU8HKwPPwF7Hz0Sxl5tNaQgFQlNK0/xikrZjsG4v/ cXcBTMLpXDCaJdr386lETHPcXoWE+XHcOhTv7GqEAKwyhyvTfeYMo9TUANQpo8TH0LuxaOEHcYpA 4hQj/PQ7nVKH/477ohl0MGc1X8gOPgQdk/3GkmAb22HWsCfBN8y89KGOfOjGdgUfFYoptmDvDtTw CO3YCmMx4LDVVEbOTJStwYwVHxe8QydcvdLrK1cvoucI/onec+zRIkFH5ZHIwJNUDMaWdKYpwbci Qev1o78lWMxgKVxjuwJe+e5SLp6tP/wMplK+R7Aj5YBcB+TryUBMcDngjHHbAtZnfmr+FpY+y/87 Ol/T6jUfYnnP+0YNowtbvXaeNDBlh8RED6wQxVE49buToNsXdsatmy17oTi3Q1bDEcKXf9zewIBM SjAfEhhX30UXXGVHTi/8Ci9s8Huo5oc9PxbllmstlssZjMltCNh/jhzwjx9MTesj0u80PxRhRVFP Qo6H+pNDPONoajtx6rA1frV4hJtfm0XxBeAnO9tuGqq46AtyzmayG4CiwMnEmgnX1WwzrJgs495b yvnf/lpps46GMVTlaB8vYMqfIF4MM3niuSkouMnnuvJkD+ORUhMQ4p8++Vxu8X6TwWoCROwMTAPT AgNGY2qcNJhmB89PgKeOrStBLKEM3sG+UaRqN4yyMr3Zu4D2yEAkmhSjqtheN7V0Q5mysg1j1M0J oirVPpiivyU0VmXDSKEWpBqfF3rAqmgy55KS1DCoiwsVXG+x31xN4Ae1LDp5TYKey9WX+VsACnhG ZAakYefHcl2hAwf2d7EZZJIsfJgFRrCAFj1yi55NjD2n+iZAiSAaQ7R/2AfXMIPoPK7TBmMxzXDA BumoWrn45ZhRMfIbUUObPZ+Biuvz3i0f0yyFc8KU0A9zh4/YOMoZkuIiZsBL6l5B4JbRJ8bIi5Xu 2pUYqglq4oC8I8HABKtJPOQRH3Aw97ANArsj3n0RT6Q4xeWygsP7yNgvU5ag2navj1cgdNmPPpw4 whOPMbksNXcz/v2WKSHChqVGmc8zKTwaCUq/LzhlfgKGUdVjBsxM5PeNrYKJCf2X/P1jg9G3qiPK zhhsc+gcff6al8X6SgMLusnHwA02hxz4FxLZO3a14NMemKrkiXagGyzglYdF9kh6bv/o+Z+7g9sw 72luk3Ifebf1oz1RWLYbCSo5okL3NIUhVlcZtY4EA+4TJlb6je5ohMwoI24uHCUX0T+i0P/UwpvZ 0mL/wK+AEMV8uJ/yul/hgktGyLBPTYCpzd2S8gkIBQ6lABm9nBkWSB4eWeSzezjvwVhFC/BFZmmC ERDNbYJ8Yh1IIg2ch7D02iawujIE6wtdZ/Uv63XHQLuNf21S2gnZudYFRud3yJjQbyISDSCn6cWb p6OFiqkvMjvM/fVx5xr8cl+k7AKwuTDVidjqHTQt1gMaD/pZSzKTLqwfQXstiLvEf8Y87HXdIJWf W3yyVOEPz+Iev+zymJEO44C5TjK4BzAZMiptFIPdTPMmib2P/QpEPYcGV5ejiTgLdMCtb4qgKNOS 2j18FF1qz3B1vrV0pba3qZ/ZykOetGkD8nfj2Q4M0Qp47zEdeIcSl+Z2Ulkc6jS/VR3iZQM3ehAK xBidXOMQ7swl0SNvg4d96sMjDJ9VW78YRRzc53VH0QfKeV4hvL1l79NMHZIDyNaNbrGtrjhhRhMq oaMW3mdsO5tUqsG3iNJBmaVZzt18EsGiZMuiFqyPhaIAeipsJaXgkQ4kDvIzH14vCuaYGgvBl+yh XSnTBPXwpFfo9DZhppY+bLZKCIzJdw3csDKil8U4FlZqQmO4REKAOTf7DAxR804I2p+tWpm2V5TE 3brGkKyzzeWmwPaSPNRFdfPzEYAGU9i5YmZBK94M3O5/x+1b/fJ7EdC+KXDstwOruBWn50PaqEfC +W6taUlQfiClzM04yxCBb64UOyACa0IVvt4tde23PBNRs8+F4KRpbrKEHCRq1xlgrletqhEv5xxQ JE3Vo7HJzu8xK5kgYQWl3KLHtyWRL8MllMaXGN94kj3LLOGeKLBy+YVAYtdzqZ61brBlIny2m9Uv t52LPBiMeY5wL7gNq4D2yu21PZX22PvCu9E6HYak0CzimsPqr53Zb5l+oxrBJqEf8zgjWOjWfjpi aMH4LwkUVxooLSDZzkIHWqypG3tSFMMcaUIP3gBrxw2hQh/koph/FW1+nfrBYvRWiCaFQ7wzlOQt dd9P1PJRnfg/6K7w6YYApVn/DrDkAZTaHN5KR6pDMHCu3gyZBFKnDlehlPSEtOj1+hICkrnNhI3p zEjkhRhqvbVDZqM3o8EBpLswLzuLy5Bd9fzg6EzIxv/ioEgs94NnzPXiixqUzJWB3Ko9ZCL1Yn48 smA8c8+o36AEJcngqxOphyGlnhx4ggv+YB3As8fya0l8r7LMECdY+Wi4b0d3aIuRwsNaXKUr/JSw J2aR1/76Sy7pJ5qau42M6eIn3ogF3/nqYC9l0UW9lsf7p0rijV9hcTbZhxovbycOFDRn/qAGvFNt vTwX+zoX6FF1iVEBlKEFBm3RwOyKYZG8lxmGXbumxlAf9pJGXGZcufVJWRLmz4J1KxdjfwVV6Vmf 9h6KU6dfVhbjTy+tm0lu9fp6b7hI79+I4pj17aPhGnbJPpV3Cs8llxN/bzUUMsZfoL7dn4YAcNx/ bltKNPdRJsv6oc1scMam4k9R7D3FzPE+dy+fwI6Bk50CoJ5C/JYWlHE6nkmZN3GC7kb+mA2Jaa2u cBfViVnT+RDNe4aiI0ueG3QNA4Vacmgxp9Y9R9habhAGRfunP27/qj4aLYoThzo7TdQXra8h8mVe Tuqy6U7k2YhXWMhUOKBxOqRw32waxuwxjMX31T59skfvs7zO1ajrCR6J6nue7n9FT42YPs2V8yJc RhBwRGXfosQv2KINLX6FLkx9ylodLcc7CXUOa5KyuK0N9KLjVgk/GKOC1kJ2TubWLZpaB/Ns6Edy BmjfmO/h0Wqb4WwosCUkkBUBB8wPHzQ7b3Hj9Ow7Jl3gPGSe/gFO9NFqJaGN4WV83HtHdG7xw0yO wcAvUTQ+kcADGHuDNUip/wEP+LayNLh1fSzcrd4DyzRXx7D0kYcBqTmoGeIZPQt/oImaDaNKF7ln F6cPLrmI9vOxRsICm/4pVoxQhlHgqG133OsWesZ5tvlnVY2+luWTDOC29RDDm1s14jDX+tQ5ycoZ ux4LcchH7n0iteJW0oo/MFIp7Jk2IqrL7DT+iqb8BkSuTu+0DKKMOznlkez0aqGOdElluViRNG9v 8t4/k27GyMkkDcFkWygO7f0CCoqEZpyJ40MsF9TyTy+hYMviOo8MOvoRw1cnjyKBf5V2+Ye3fGgz Vp10PyilkyCtQd3Yw0z8kmlWSYFAV8fV5qjPknRuOa1Quu7ttLVnZTFJVcrBbrKW7tRJw7q/LtvU qerHAzbZ1NIa8nOw1Sje7DW92m/YZG12OWg40kMXk6XNdSmcxJvvfLcDIZoQF0G+S0UDaAgl6HjG O7G1gVsY5dhFhaUT2WElsCRROfyC49edwl0KhVX9okcvLwDLpQ+fl6Lay7YQYKd/ObzWKCRfBs0r ORnvdd/uCbsRZaaG2tCUBD4n6AOg5aj5km4OJQSmGqJs49RdN9n5I2BvUbUQujMxQsgl9XBDzL+s UwZBYVYxPIHEQDeRwc41kTJosZomv3Bsak7AKIrX17ktCzxPG+ZrGOW8jy6E8bPqc9T3c+wvfjme rnWvPViYWSAqfPvMzfDERDvwLzTaYMnI60sMXV/d6FHJ5H6kjepaHRrM+YJ2UXppVKJrbjPwmwwu O3K9llbBQIH54KwliPOehAOTdpwvVJSSploFC+Ilcd0bo5ezDAEPB7owMCqoFZxUns+hp+xTxLoi LubwHwcIWhfNF/jBT3PXROHHyVUEMwIla0c116Q1xl0eXrhnSntZ8mffaRCa5Og+OYkYD94FqZ1w Ox3wOYf+LU/+cxaG8ZVZCSygzdx4BazrkqPyrUvT6dNAer4k7UPn7Q36t4HI4i+6G5bJ/J+Qet70 P3XLhipuUDjYE7KkpqBnBHizR9E6zSTv9DiU6RlY+KmcQXSd/OW5Cvm0HJY3ox9m82vdF+zFI9aY /rcZdr46SeNO2hO3ve4dUuqzhODAgHcw6LS/9OO3gxIaaT1ZmSZOVf0Wz0PxtTyojqu7UQvCx04V Ta0NDu8lYJThUAODORBpvEQ9mZvYZWS9DREBiT+hlgwionJUxGrGrhKQ4D4EktID+PqGsgXtr+gd RzJWpQ9dOAtKzK2ixHF+FsqXNT+aBM6E2php4p6UjpX0Qkkvua5e2FuPzgLZ5qYKeZk93c/RVC30 CrF7Wt7ZsIy3DDmOY6VxdOY9Ri28vqRcANjbeH+mY1KvWUX/22H0KqCFCvWHX8TwJHEQ4kGSjcI9 5DOd8G7krh5j/9NDryC1Ws0/57fE86gs6vIax+v8E5LlHRld2ktVjV2FU+CAubDRP750cgefY+dc wUohCOM6c5JPcVoR0lUYitvlYl2HE65evbfjUVeGbhebDRw+NVh+Q9V8rzOaJcban3d7XTG5gbS1 MaponF6qKZ+4x521E/oXUkzrzLSrt/kDG8zRa03oaVwx8rxdu/Zbq98vX1qNcCNXw+pqKl0c3z4P WrLc9Taum3iYexppRMHkV1kfUC6iQ8j3aKgb2hI8XpaTlZfng+K/76Nvqh6otpQ8qe4M8mnRZKc2 D/ZgIEOuF/zMPQSNvHa1HOs7vqOe5NugOx/FdmgYLKkabIOHo+RfnM+Iklw7iW/JpA2TFNXRhi2J vVmT+5IjAcwwIh/D5pp8/SBMI0WNPIwfEePWmR8/a5Rlp0Woc7j37BwpD3iC7r2XxkDBTo/AEexj JFCUh1WPuZlctnpi8FQL4QvzugYoDn6Ync315rkkLUBc3s1UWxeSzO5HYGllZNZwAw6SxbPMGnHv LBVBdGCAFSH4PJg4Bg1VMVk0t3yklZFnrS5Pqm3cO8WnVt6zm69iRvp+ahszENZSQ4nAkMHj/B9Q YTPKUycoaG+t9eV5sAvdxu4ClBou0WuTNYX3nQvZTx4o3jLsOX+s8PG6iuygeZA2k8h9rXkMJyed SiQB2ZwLXZEEJzno0CVSQceWe5xkWuw5PEm/12zXS6UuAqVBXokXRPCo+ZHBxVAdq4uQaM2BHJcR K/ybj5E3p4FQdEgQVduaQcPCSaaCsdydGCBK1gt8bhTLQu/Pt2/c5EmNHEvKVeEdxpAi/q6IgOPS g/TvmDBy/DTS41groOWIhlSSeHY/eKNK8O1NLY23h/HLZz1tOcg0ZXpaiUb8cCqzTUDlRWcS8wuY p1bpo0cOCTsNt4AOhMViETjg73NWKhzRsfBBV2RNTykJcJk0mJ2KBJM55vKu3me4t0uxMkUU2cEj EzgrTLZ0CgPAyw3JPYzQqz6plBo8RLK1j/m5vKVB5zXnw7GevvfqmzvnYOREK5XyAXe7KiFJzR/e Y8+L5cGpYnD9OPJiAcIQKOlD80b0/S9Qo1ART/ev3c9Fz5ac419dqRfkSbuFJlf1HIarGGZlKN6C RQ9ANasulZowwy9KKxRDo7VBvszRrFuu4Y70yacYkD/+K07/byRcxg9VaLpLHGhc95luzbm4f1Jb B+ZC0ax1FPHMR4TDc7oZ+kGHvY6JVBBO60RG4b+CHKI9Fh883KJGO/UmZj9rL9EsnzGrmAWXmTLG 3NodJwEKvAfDLpv4aBmnjMN44ZlsQN1MXonLtDt4LHDUPHBgW6/5kHYMDXP/8K6/0OCUfU83Ma6r rMoLfAZ8cPUvBqVYpUCclagt0pbUHeS2YXz9hygs7vBMD5dFSdVFnoeBFeIEFs3IFgKjZDgPAtxN sX6LP+26W02H99kqE8z4xXyR5bdA2fQrW4N34aZO23VbfkbXwNYGJMEIXkJXEWRCwhEuzSFQe0zu aWseAtl8w7/WHoXQR1iWUQGWjNjllHGdDwhkomC8EwSgVDba96EYGH2lpyxznmHkBVGr7TLF9JGT cLPDuTTV4G+maryEhKj7174iRVf/YiIt80WV8OGeWoV3k3T9buVwOKkvI5fODBg1fV4aO+WhX5U5 w0Mbe1DnIDXadVRBmPr3DVLHEiyDV4ktXbs17K3S+JUykP1gsIvkdfVTBQMP2u1sPWz//z7iVPSj GnRwZUvgbyTcCoGFnkNo0kk1brhDYUVCkjNUSYIIEjohBlDioVMnICP8btv+jvylSq4MBsAbDDEF SsA7pGc/qufTEbvqQKXG+pzvv/jOrJ0TvHnQcV4I49DdV9GPKfvFFrPYcaJCdAHQSQoLc5yETcNh iSEbUMiKcu3GrK4F9X6wDgUAiWS/ZwxiZ5/CJpw/d5v/1s980OfAS3mqPpCYeUdVDhZU3l+Gh7uA ghCmpL3LiD1JJ2kRc5Sy6rY0BbhXdhUmNSEVQ0fRTdioZYeHC822bHHLEs/lbTK9dxO1eFQQNZt3 aROMGcuiG2/mHIfEPVwtt3k21I5efoQwxD7PWwi9oQ8O+wd6maPK2IDW8qDFqN1RJ3OnmnTw0zLs +9Jt+akgQZD/EEleKNlwxAUwNz/XjxPhJ4pv02BYIodTGG7xwxc6pXJljlAT5cwbRTE9QbW+Ygeo o/KJ7Z0Uh/jF08j+uiFPhmXCJk4mf7kUX6fATDEBAAaIs6kZDE9xJVKLYxBTmy03sbVicXmaXjxB gGiAnLb5itPZMU2ea54YdKvdgfRqNo2M4tjluYHTp4l2UNZai/KAx+6lHQOWykNS+aqaVId6fsBM a3g/fXEa0wjKoMrx3+wQXHimCGuMyDvS1MDERtljc0D7NmsDcbBov4FFsx1cnRaA8qyooPojnv4G NenmUXtmiwQ0ZL3eOX31n3ZGc3ICP6g06sQL2QPgBlK4wdPb/maZ8iWeduMMNXlcii+WE+4TF4XQ 0KPJ1LDxXhorEdOng2jW/eYXSMfKiT8yKt0aWWuJQZASa6lh9X8ZMMBvnAGOROv6edW/+6Nd+IUR M3dUcohstUxdMC7Hak9QP4H9rARGK1uKbxSCyAcTRZcgNzt4bIun4kA1/2Z7G5IX4WtWEicZQydL pAWeC7oUcEIcQqILQnc7RjAQBYIJ9p4b3m6V48bGEeDdMvrg4J7D7eBURnEn/pMaGxuIeUrtDpVS rbwpLzwNegCDV44jw0525Kk3ShFaghGvfE/TgONSr0VVEUpuillqHVNjwI77ZiEAvz0F4553LZ5i k1cBDcJH2/wJ/Q9hXd/V6ddVIwM9sEeyh4a9f9iCqyS9e3AkRtF/OXPr6Ld08mIRKDEN/7JVb+Se h7HhupylD9eyjVlrRJuEu5rq3ntYeuxbp+kmCjn2YYmXWmqaAm4SOFv7kcS8HDt4mXLq/q14u+/N uqc3mjQhbe9jF00KoJTM4zxUgjU0cgxtq1FNhg7Xph7GU7Ioy4S4xJ+/J1pR8zs2yRvY2TtjEHcL qiSK0MWCFCeGxC3YnqgggbnVLZF/EJhzUV+WobdUAUegNMgJkjctxoCEfYztZ6zLt7rBBaTa+ek9 wo6lq7e+y5H3DcPQgyXYVTYllSmUaxmdB3/bn3AENuI3pdDZCpxmb1itTxNNJEvU5EseBlVyV47s f5jqeGnmTTHYS5ZFAEFUwZN62g8leh0CDOcb5nvbHT6Vl+DiCSCDIgFDdUACvOzUSc4QNqhcRew8 en/nsp3hNISUBxcLtUyPAf8AqDKMtZA3hCPP/Ldi1emUxSXvJTdqWJLzzV1kRXmL2xMmVo7fuVG/ +Jux/lxFl4377pDcjAIf5Vk0xH50/XCSgx7NL8iJpN3tW7IBrdE0Pb6p3HTaQbkP8RvZ5bNmci7L I8FxXzWsgTJ0Y9+bUgqqOaFV7HFNQa5mrr+Tc9ctD8Va/4V41UzpAHV48vtKKC3HcuAmsuCloz4x bapepS2PYbjwVmmP6yATnKv1J8WYwKyy4viiA5qnCS/9Mo2cWjpzQogsE74vXE32Lrg7TIvnH+p3 qL2SqIV/yOrodNYSBzQyppuUyI+4NB8IGxmkIvMOdK+wGa4oCLxG5UekGUXID/K4oOmAOTYbY0c4 ZT0YfoUiFkGzahUNdteZvFUh1VRq0/9YZSO8tMZcd3tg35V9OPt0ntmrQ9n6eUTjBneJI2OAcZLP 4AvzAhO4P/YjtEmeUUDvpuBfjw7ASkguCVLIKZ9v7S4wwHgCZvDrAXvR62uUuEvsqvmB38Epi51+ sIMhV1vRP9P5DFQCXtiJ/ET8/+5Ypxly6u75lFM8841So3EqxKhyxoWPqa/BbeErrQhJiH7UTwAc rOP0tp7eubJTg3tRPL8NfpFhgLE1XV0zXYmxRQg16zaJMKPTFbIkLXiAPrZyoIwZ5JiqLB3RSOTA lNxsrTx/ohppYEptilE12Aoyz8xd7z8Eb4svg+IiQ7A2Lxcvj1O6qp9O0RBoyHAzpCozFTcyOtnx 6gAYjezf7vPOiqVz8BYTENiZ1Oy1UfR+bzgNDZ/EHsppmhf88ajox+ut2IZyXd7Uy6zfdQnl1lg+ Y9FFUwpcsYW9updGXDQBRWBbEJLUzMjnnmGpRDvzsjZ9kC32ynNbKTiSNr0WVqgJmceysKmrv19z dCDtIA52j7lsaIsoTcoopnM6x6F2LOSMMLFzRUxy7K+JpS9W92sd9oeEbn+EZlb/z1jhOAi9MGe7 5kXxz18SBXH8E9g1AHd8tNGCpFl/xZ2zFa7D7FSFoUI/TszNfNGP3UllnzeyknrEcQdpQ5+KayyL cvNf61vr82AmYKGKuINK+kjNiSSMmok/g1WgNoaVrgh+fgWgt8864Qq1pCh+9AG/7MDi9T8W+XQw qm0BuIWcpmMy7fk2ngR6ZNkW0sVTtpUrZZOjClU+Vjxg7dg8zLsRVfsYZRd38ETHZI1zh3rACblk hA0i9T2yVq9YqEmcx/5PGKJOgY9qLf2EiJc32/YdJn/zNrSKJ9drY7GAsEnk99OJIecz7mqW5FIK zQo57dRu2smlMEtP7ZbHQb3ZUo7gVS6MxuFjKW7PVA4qOHx3UIMBlApfR1l4xfA3/ZVygdE6iWgQ a9hnJ1zlHBUH0Sp2vrth1n6cfIg3o8oQgaKFSGf3ZHonYxaLO7CRG/kuyQz8FucYUiTO97SQ1xwF Z9f0u6vxyLMPeiSa24jZuPGgBC/A0g+1iqlgHq0A8rX+spEat3ISYscflg6jqH4ztffLjDLgVcfv iDl9ftCecw6IMLJJsY0ERjE8VvAugHpG26xvU3lf5/gPJKdZPMTYSLNaTM429tWpkZGA+vnIFCNx acym3K3b01zu120sVCvdgBP/qqebx9mvqz3PKQyx8IJfW/xwOHDXpHf7Cthuxcq7uP7rjnC7/mN9 QL+Q37vBTk7M3+aICGEIpxBR/dKo9oMq8nw8Xeqy6sOMEUj/d1H/x1PTO7hiO9QmiO7Yss/Tr4vW VahyKb7aMui8ogZ7J45JHYU7jLRgOk4YkRwhnIkyHyBqeIWD2YliSaCvlIj4XmTuMh0AyPk0sDFF Va21EdC8GDTsqoRpTK/Lwgr/u/PoXu9JR/7FsAupZnSM3MitBYZeZew/LtLmC6zaMLScaFZXX2lF cNZ20RD3/LCfCqHLv0NEPLKdXW0HHcl6oxKfYn1lCdXe/U2owxtC/3fsFXZaiwG1fxbjGRTZWDY3 DfE5ITwTL0jcF9+HzEVCZy4Yum0aUm26XvbG8xu4aNu0l4jO7LUDMasnDAyQ7WJ0AXjnZPEHDibN Q+h9dpzMZmTd+HPKFzq4RFqJKSxHlBzmFph4PxO91NQEsqpVnfdgGqi0F2vANSsdvWjr7sU9QjdF IAqPV4ZGsG/SMZvVnKG+VOindtvbYGAZhgBaQrTN/OqGRZSaeyHjhLFMeV9s4V0A9v1u+PwYrxWR kQAD3MlA7NxDKU6IQ1LP/tFLVdZ/nISA4qZ8rLTskm7MdkeaM1R0laWlvzWdNbjQ8/72oPhCtThf n1oGUSB0/O/ppT5aEY1ZdF9PDJWLLO7b4mXayyRVc3T2B9RX0slHPLQ16Z4lH5WX+Zy6GTabvjGS XxpREuV60zaKWTWyJtq862fqdCjYuYSPjDBJFQCQw8l6yllPen54rC+g2j6oeDL22yLFTqFaEdv6 hV4nsgll/zRcxh+jYFPbWlWwlu/4XXRTDFFfJrBn6c7ShWqu+lO6AyzblW/S2gUR4c15CTgl8wKm Fe7BKTGXQPuekyVX8SOOU0Ftabc+YsX3l1vB9zoD63dENmB8o47/u7Ch94PxDr3jd237zblfkS83 UzEOrix7XZZBwWfZQV/TyddEoiQNj1NA8PQXfEGVmKxPc02xMkBHX++qPoSNHFuvvM/NS5BoulXI UDMoilMwcgEm23YQyfUTvkXhW1RyucpE9HEm3lYzkdGlPzQFNoRP3/6fRStjZCTjThOLVDGRH4GE I586TixPw12j7ixzlJz9Rzk5OMrABkNNI51WpmJsq4NhLAPEOCocxzHQNHcRnQd7XnbUmQ49FkzI r9pG0bQm6T2qVL1caRcpSGHCQ4gBBROxngdx//xSoWrHVN6EEuvmH6m9UmxvboOpAi9O/8L/Mx/k olwkoUvvRhd/IpVeLRZc6ncfUl0MJpshPDnrepFey1nYRIHKywFjvCM+7o2pispehdedCu3+SfaC /qA1ANoGasFmK/5fasCBe3JV4VepwMosT7hTAwsEuy5S2VYWUtfCPQO0uBS5bMnOt9qw71gedvJb eVPnsRRoW2VDcxQH6kSPx1QHf+5dHlxdNEiq6nQljCQSa9d9JcYxIsDhOSTc4J/J4jMeS8mqWARK a0ASr3i7asLX8Sw6zNT0CltyFrLaHlNMujEUMiUsuzEkmtXpxW28H7vngGhN6CG711P5/scNtGVp 8NqE1XKmh9hDj9f/l5vPP/pqoXaumG5mYA3i7U4J7R9KxPsOsQAFJlh6TkGgm9LVHPP99Wi5MkyB Ajh62AFVb6ZCj1NxHxxQ2LuT1bwL43LEx2IfTRT+lKibAPddIjjRJElzpMQZT8nU1lgfbzrlj2Ij hDRftpTu6SG4+KmqjFJXueKqRpP5zOgFK9rUjhzE/y1qp3pHuIfNyw5gf3FJ/JM1J4W7TeG3kYiL Yo7RgYN5nWJ/GdRswIBBP0vhyUMb8xvsWhg5TO6qrSy63G92wFbd4yujVZ2exFORqC+gLgqzHK/c Fq+0cPjtik2HbRkler9RFAYO8DFlvSqW/eJ89dTZHQYXPgdyeKo0gGEhLGM7Xov39s4po5gXohEb IssTM/zvv5NCLTqTi6isLz3ZRSdTz1Pk7gpd2Ah86FLTr5J9OodQ3OpbtuuUG/ZegzRsuUfAIR85 //gKTlM4b18qOQ4DOBJ0jPecapTK0N6UDa7ofjrU+YRuN3EgrXRDIJ82lTl48BHhIYruqLzQejnw hEVd1Digfmbc0JMAj55FF80R5FomuJEd20pVCaTTBlR2D2zohTNN3tOqsFcd1jLq5zfleqlzNPDP u6iMX9sTngMHFmI+Gg8hIS7KII5ExT0FXMHXQzQMK+oQr04vz21QfkjsY4FDqRA4Q7ECiPRLYw1k tAmuCVuq/Jg4khvYo17B5AS7JidhlXnNGKfvbgBGdIS09mdfhHKL/7zAD6JrHVy1EE7hKXvIVQSI ESrZ8jWuTRGNMBMwFEPLYJD8M6TFfbxWHGSyiNEcZfi3baX+bjTRR4pa5GohT1r1HUjNGRx4iDRH R/uwPC/izWoAC1uzQ2dgANbTU9nm9ws0MReKwFPhMoEwXsJ5VxovgmLNt7sd758TxpwCOw0gQJhM K5mdcGriYi85pMnVaHHUmSvpJ/h2vZgYEscsIsBoBpIoN+LRenMESKjaApot1zJ7zbaJvSl9KXt3 ysmjTuuqLDBCNmvMaU5HBA48rESUg1nPwYlHmSrtAKYLGxcf7WKoISjljqfrKSX9zTiacM7XsgdI 8PTmkd+9/FU2zUVMgtnLrGCMinQiQINvmqArUvuRE4L76yCNVLGdKfWNhLKT/1Zk4Dpmkh3iuxkk ufknDxAYbHMdX3li2hEk7xdJt0HsAOWjYOVDdxlfzbokq16cVtPxYAoWv6TEbJeJnikS+6/YfBf6 pbi9q2V/v7WNPtyxmbKGstaSiPtCEYH+2KV248Zq0fhE2EQGrs74XYESVn1nX2uQqHgWsqiL3HcV NfgY4eKQPqLxRkYfQ4n1gBswtzLbB0O5T9dRahRzMEfM+7TvkXytXo1d3EMdR1iqUQpbLjaonJ1x Oa+uNvve378AkxH/E2vWmcs4KSpRMUERB4H0xGROBtTOqb0opRhXNsBdwYX6hHHJEUOUPS0GNVKh y11dLW8nv+5iDza5Mt7BmNGx/T2uBohmsBBmAkMzhbwgxmMa+rv1SXfzGUWcL/EV2zKdlkVcJjo/ t8s7GRV4O6GY1BsqQCuJxle/aV16hHlb5lauuWzw3rea3MJrLUFWkkUzS25NPVmgA8fBKvGc1KuE PFV9uuWXMCjQ4y6+kHC2qQQjvXmElDegTC8JOkqX4+q77v0DSboIPWHhUD5IpPu/ASm1iWWobtmZ 8wbK0jX+173w1y4mluG3a+M+L9cbCAvnWzYNQwJ/syV3OoQ6Voi6POHr7WdxPQjZbhW5t/B9wLzg aYy4tK9Doc1a5Eev4uo4KGsoo3JXmFt5b9F8OAgUg9fKFKVLbTxIapu1NwZ3uGDyM9SQHe+mabDT eiqRit5Z548hm6UQOfAk57z2rtu3Pf4qfJy2okScIw6ZUOScGAz19PciOu0q/2S1Qb2bcT6DpuFD iZDDCEtmELH9m7hGJXrWJsciXz3+2sUvVoPG7AagYWEQADPlEQ9xoJB9v4CAUW6nDPUIhftmNoxk eadWKW0Fp1m6Xn2REbMOf4T5tvSFFy0N7si3iGLy0eymhb4l0UTxMXEBnhXrrkbBE5p3cjSCInp5 XMIOUsyaMmfm4drpuhi5eBkrTkqQBHmW8hDz/zKf87FXdHDXEdnmnmpPvzd27x3yvH37dnEKOQBx fQIc65aL4c8ltQh+wj6bUHE9TMej+/MbTbwE4KQzW689tliy/ACXrGZXG5YdVt2kBR4xc0XI1R8/ cPGXrmHEGiRYj8MBnXQHiAAGDqorNaRPxUG+s5qJWCQe5lM8DIYKQoMCA19+sZl7IB6mZS1qwxD7 PuPb3RRyMfRvLG7XcJFh6IMVUZMV/XWZiyy/qgP10fKfjEuRBgrs3OylTC7zlWgfdmDUHulFW0jp JASR7esXxi3eOy9jXRPbVTAFAEYoblbBgxoVemtfopCQ7deVOIwQsigM25E+8J1VaWcTPKeIxSct PNdgoZraXXKdIHM0yyQ6Jl28IBkzMvWC+deF04Kdse2+cfuUNgH/cCM4Al4yR3xwRO2xCG+/BTZr qkvwEeT5ewM3a352nhff2iQdsDy585SqQNI5cMgOzp3M9UX7qqolH8vCZ9ApCzHSWzW/T0l++Mn6 FQBDwg102z5I0x7v4jnkF9sIBntyAto/o7qkEIz4thG+OCII+hQ1LokDwuI3U44vh5wvOFPjeXFj Yll7a6/s9UKxZwFUeKV9jqanSvDVFmRFUtk4IOAIg8Po2M1BIHKfkub3dBnJMAF7SGEB5fcZzOzH PxHVHYj/ZV6jRs+SJZW6cMteaadFnm22ZXEzSjswGdX4ZZk0hMjP8Jcr2q9IpBvwbkg2C7SN/OZC EaYLUOBzrm6+PE2bJg67YZ3L6mmSvfpgO4YMBbnaDAFXW3MuueJB6csOwYxA4A6RboFBkgnB61Wl PHTCM9aDLM6y1498GvxHO+9+oC9XB0ynF6xYKtmgkfeOT7l4/t1xTYEEtvanX09d5PC+fwKZvz0C Ssi6LSqk74sJVtqcODP/04uitk2NjBSsyVMn5dREqWEdC99tOd9396G6HfNQr0Opc9uH8zndHZFn /WMkkp8O+gZZZ3a8nT+8/5kXJSwcjiGMYvdtZJy+lV8HxPms60Gpi4mjNvdrcDDUycAzMXSYIwpI 7Ip4QD4Uyea0lY87O96HlfxNDFStOsyqqGsUzpfDeEAjPVIy2zK9+SkCVdtEhoG1pZr27EcfTT7c QM/o41jga3RimKj1Dy8zGLaszi4vZSGnluP6vaB/hyD8Z8p7WZ7mvFzmWLc5+izF/2agKDVHfeW0 G9zUZFHXzE5f5HwP12OsUK+3pZNu6CIZSP/A8zO0UVSWQhrLeShSxvY75yip4oFZAIR8GjeNiI2E a79yK88O4ouiqEYS+i2Ine8y8enA0aBU418lvIo2CLCtGrw97qrbJyA3rZktyLrZ2Ko0WGgFbLPZ Sc683ZBOcWR5UziMRcKOTneBtdt7V0QuR/gV1FjCs8FRMeSYs5OkblPSpjsgcJtN7u4t3Ou+//zs 7Kz5BB+7vZSe17jVIVSK03IPffENJ4TVbLABv9EkcZUj07yXJBn9Fk/AmsNNp88W+RGOzzcXS2Xv OmJUrkTNXNmkr9NB2QvLvBbDYUUiJKUmof4IhPGSdRNvYTK7bdygFmTpXUMsZ7AKYg9b3gkFuo83 t8Fvn6WOljZgeeK3rM8VHiooRo51MbQG1++IalClybCgG5jseplF566x2hwVYzFH/M2/uLg2bhYn a5LbzYenD71L4zk+Szg5eSlJr4Is57rVKglq3uDK7iXP+gaJARixe8jeG+JcyJByNe1ff/Cz0UyS RhXrf/Jr4lvtBYNneqOyhqtYFfTPQqf5OXS4kaqn8fgJAiGw29biuF/rhZNrcIH87FBYS+rkwhGV bbzdBDXsn/raNKSN6O3kwu250M0ETX3jwvtyXsGlbMGhfEhUTWtrEI7WWVs5340IL7BbxmYNtemX fq4r5DbrvvQhyH5998WOT7nSTt3FR+QNEYkyUkWDO65IrBtWUFPyEEsbgpcGqZlW76TUgZ7SCrtU 1gC4kQWeV5CZixt8VnMhH3InAL+RlfwsgEUYbZ4VAjoLg24jXSLY+b077iXJRzf+5Dk8pDRiyMQT 9QosZqs940MkCVRP+PgIW5f5hQHPX7b+gyCvv6Jv0vfzHV2JzJkUbo2u7kiH6ElDvMZIqZagFc+Q 2vM6denHpQiPjQ5YXLN8GB0tVWOfZ/O3zrfVClG+VBm9u2lmnuR/tBianacFHsDgRkYyfXaerJr4 TdR2FKVjnfm+MjdVsjv4FBCuKpjiYdhsLmaS2UZJd/S2LznlbcDt6sI9nDaYjRBVOmpMwCN16oUU A5YvcEvWztHzr3EiuCBxMP8zE5ASSFj7rgIRNB8Me5AoOgWJyyLrrraUQXctRKUX/9uLzobF6XhS iREqG4itAbM0z3//qK62Lo27/70TMmMoF33JWTemvcFl3miMT1DetR/GSUQhxLbnDAhEnt96uR5h In4LEe0daQxi66BOqHe3DphwMnBCa9ESmGz9uECbmIJQl0sfRltN0kxxiR49BXRFmA7Hi7Gjprm5 WsZYATWu6/UTlBdfsHUeKzGfZ3+9ep7MGCSsnIyMBk5V4Ed9uJAUK2b0KIjeXTcmx+hL49vsxGIe P5msa87Wo+ES1YGFNQrThmjBeVvwevy8+nwkzcIjTPA92kVABm+WcIx31QfRrVynSSJIzivjp9FT FakggQSNCZXkf0l8R1qAObWhGo+HX486CLrr/JLRJYTLG/pH2Yv91LNKfm5GfUwYNAE13RCTvfff xiEMHY+u5xLbyz0U+hRAJepoiABMZaWW4gzg8HEA4jfBCJbVKfQQus7t86Rml9KORtccaMXtZQGF YBKAAeEIDpP+VVjv1ySUlUfuuhY8/+pFcc9mErrlCRMqcKK8sDg0TvqSDdOgw4LYLFkVxFWmWds8 6NrfsW5ARxCl6Ny8cPLIV5iEARaL/OhOjaR5fFQN0l31uJq6JN3JiC9/PYLSXMQZDkhiL4HgWRtl +Pn2uvMTJUcCVWciGE/8Q6b8CqtqLqgDDqLqiaIZjfPFcavQNpSV0V6nwZMgmC+ZD8lsypJRxSY+ s5DH526gz2M8nURIDWfk5aGvrJuMGR/FfM7xR2+Nzd3eBOj9mjRV6ephWwsa6xIYz0RN5jPaiKFn 7/4uVfXiUcsLSX038OTJf3e+mKIZV97D3AA0iwCzup3ybW16ka6YiQ7chYFFcF3vFIZWtyEX70H2 T06b0py4bNnPDJMdykr3DInltOM00IzJ9EDDprwvKvvPLCi+AIFqPHDHXdt7maQaT0+ffBzvCn1V t1aNnO4f52tsw4OWjiOFhShZKb1QDzHuzGB7Si7YlQbM645WhKoGUjMNnU/jT4/ynxC5TCrEmDS8 bwq0/7rBoKXLdViQwT59Sm1tg65XPBMGLeWyF10aeQikLRIPKKJuhTHOUMEJLquv/zH32o3wPOaJ MnM/8/AeuOclK4IdoOY1UfjUVWflHz3YmY6XCOGvoSsZw1L+67uRHmr8krqPu9NOkNt+VqeBcihT n/PZ/f6MYTSAp6Cj24QbTVHT6U95PrINj7dNjGkxhiaafqV82Bq1zVpEZu4+yv960CYYZTvY7HPM o1XS23lrPKpzqcGAsFguAMPDoFUZcJGDtyKJcIe+qJx7Z7AQoB04aLJhiHX49YRp6R7P7294aFXX W8z7l1sZw6wAxAOAmhK1F7Qm7rwIPJTtqzEKjXEm483n9I0zBu2B67rCAi5smDzCpJsckUpxnGxi qNHR3aDWZKChiY3uYg+ZRJisYhf6QLxHQ1OSPqbEd1W7vrVhbOVuUr4VUGr9Hp/bd2+J0lnelhvd 93ZSZUSmq4UXIudl1JLtCjsBB+tbxUPvRWK1GtJ8JZsrxRL2jPYa1AdnQU1cQMJLV8rY5zavnB6+ q5gfgRERY+sB4N3lX4RVFK1mAbWx5m+ppuoQbgFMgJ5G3WKmCMtW92wWOOruEletH8dpXn9IRTVo TITsXKHPz4ITVquH5SXrDpcPuabNy7FZt9m4qz/ShlecUvXMHH3YE6YFrjJ277QcSn+8B5uDuTLW fklrYlL9wMRe1s402Zv3XRmUA9he8eLBDU4y9rnQv0yi4fAyLnTlJy/RdCOgXoqiotCQ69DZ2wcq Z+kiMJTkyjmQpZbscePsRoafw+Nhd7arabu/8mGkHJRGsozyuLweEuik2CWG5R5Nl1/ZCzTlTxO1 2poMx2fpPmtBawTkKfKYdKuzf75U41JlM+mvXOQ7MPt+dkBMOcRRtFQFxqskyYNvgrbOqVFIwVet qJTtuHXyXwmN8/TaJ1DjZbMWqqxLFwFd2xri/+eI5Dg7+QbXgWi2tfMcQrPxHt/dgkGNNMuAFSB1 NelmZAXsx6ddKB46z7bV0TDdH3MwXQUw+HxBlvvBmq1jmfg3A0wlyd52xkvKO27u83Mz4TNOkFFV 6bbZ64tVP0pDwt56CYC0GvFIg5oaScfHg2NzwEeqU4A1+fpF8951zDPPyDDzkUNsWBx+Rc5rloIU iZCCLo8uUHwIw0XF7o0+HTfPaPhikKlNAuQ5vaBlp8OJuLoFwpCIn+ky62vqvuVlWlk2MErBMocJ EAvXD6aLjG3ajlJcB1UV8zuqYNoBWIjK268c5dqjijHM9Pwm/M2YMz5OyTthFtj5p6dLZfrzQpOH 0Z3IKS7qDRn/X7oCwbG4dPD4js2oL/bvU3kByJJPKGc2p7i/X/MnZnxmHZABSZO58Xb6tWb4fUxB fiIdu5jk/Cj/hOu2mftGuliSsYKffiZJvPSFxKa3XJJEENekYPL7R1A9T2RIl6WYwjIb/zFfikH/ b22QDY5eQh28RmgYzWbOJW2LDKJgXXvQc5NyoWClmJpUzJkhU1aYkNGW/avl7qVsT00XWaDMAty6 NHT7+SZ2EmKdU7/GeQKpGBJNopjQ9Ekbolmj/vIkPU4AcmXidK6FjflIjDPa3iQMoQNGZ0D5Q2En 6etTiX7bCQEi93tYUNibBgdozbH9/GmQdE++E8t/uXNbzHkFStYZWKb1j4P3H6b+vVj9gqjKnJHQ 7oDM5/vPbPCZR+cJlwNYb5lHZEF8C3hnaNjs0e/IHsYv/I1dnDwP2n4q+uWK4mAt53ZzgKJa5qb9 jfmM74B/UA3pL1S249YtfDWOjEpzw95ZyuU5YXVCrI5I7q+KbFsehwSb0RYCin2iJ647TiPSKYLm QDAfSoyIGgQhHe/Q/Ou0FUZ8n0fLWfxAgVRHnwUtmWQ5rXfV0Z5DtEumbUx3OPmC9FKwiX10J4z3 vFGCEem+HpfqSQnXs7Q1g47ZRJ+cct1ygUvD3j89dTLJu+7g+ZOnI+ZVv5BW3EeHiTl5O/yoNlcc E1taMzFmyuJrfJHp+LItJ+vDT4ksWopKMWJKApvz1yZVQQMuc7bjJXibgwLnM60/I0sjwjCnn26l o0xcpMnRZ0tXvKTIJzr10W50IJxtggqi919yLYHvhm+XTQIX1dksfsCNr8GbXsW+O53iyXtgFzE6 NVI+AQ8qlUC8NEKvpHonHhLEDjcbVm7SVnockOL2pY2QRargLJo0KF3xSrjrPbR0I5qfKJKCRROJ 0rEMDjHiU5b5+UBz23jzto5bBcwxj/v2q2nCFykCfY6bFjgU52Z53QQfMM13aQh4yxKZ7Gi5Lzjy SqTZcqa+/JV9Uq930f1BJOm8ZcODNzBB6EPY5EA27GFZc3YiPy3COE68gPFfgZxT5tdGAy4BFxdb s7carr0QTzLOWstLgl3pLOqIlY6Jb7RJRUfu5D+qUyUqi9FoKVzb9UyWbTfD6HBlAKRnk9uyserf k2j/o9h/lgvdomnE9CC9jtFfDOeP60bt2oOItaYwNWAgtmWJXUdRT93LvqdJLtV+VPv/fCODsdMT a3UfzapjxvFlMU9iKxJRqA6WgHYVvHb3HYnuQcDTq/eAoJzsVf+eQDXvPVmR59FN2rkH8rdqL8r1 S2qqiWGfwYsoJpl4FOnAE7Llt9uphQHikZtyEt8/rOK1Vm3ytOIAmVmmO2yQ1Pe08Zbd41khuPkn wlvIVghCxsrnyGH2/sXesTnPrmdL6E1GCcPCGQl8yX77sxSyX8bRDWK+g7WaCojseQQ4xdrze6zD Iw93yLEa49t6vSUO36o8n1Uc1CT4VN7JSUOh3Cv4Xz1x0c3YNmZY57H4k8P40BEI4VZXxo72Dp2b m+LqM/FsDVxwqzR6hfnlm5w7TD5WVUgb2DtMaueypAZsOHWOzQxXbYEaN6rFqZLGjVNwgtzRko/u pnZedKefdtD5cLCRU61Eb7Pn8SOBzxwztY8A3fCAAchvvT9qPoSeNBWRDAQ5tlx6nQy99RuPqzh4 Qms+jRCYDoroUcnF87mOU/ddFQWKV+qyl9Proe/C2PIHvD+25bTsb/gC6b53zW7liXgfvT4WzPAN rTfZuufHFaI5+238Y4DiTXczkN5sB0bhbwuy6RN2svv+wxgYdlt157zZedd3fg3Cq7bx7UWRYmAv 8yJyThlozrdrKzvMKuTKgHVvY6RtrplnjvRzdMH8ELd4DdyqQR6DbCoVKjf7VqaqkZLNBc/rbruN 1tY0kjW0Jj2IZ7vTl2pHfPjUfY72tp1YLkm/7UrFHdLZYMLWlPXKKS0nG7VfrXKSyb4B3zAFth+R 47Nh2eu5f362obP31++wAH/4VwnrnGXIALVxYxn7UsjJ1qzI0plZMmatRmx5tDv73FTQt4ejf2mZ nEp41DcrHhaReeDPpJggcY98a9xtsxjs18iTHlYqaRgUPwU/LSnhpvCPvm0bxe04RE7dM3sGm7kd O5HlB3t8KKjycNqAuA2kfpq0+v9dtGDRMlnALuLL2yjMm6Q6P4dE2VZD47vo7EZWjBcVOtJ1xQ0O IyofThuIcX282pD4KRPPfFNGarqVm8AcXlGkmXaWaFBN5rg6yygq7vuUnOeQmBSJ+pDmvx4quBc+ p3gH3kNzpkN44nyh8kFKHI5lxH1xsdgws85lh62xjlW8LoypZa5hYTisACqiulzgVrdePyZ5X8Vk C+pRV3MPU6y4AotvMaH+v8vkWvucGooFje5WwdR8DU274SA4PBKUQrJFDDN++fnK9aZMYrI028sE yMjVaLKi6fYLQUwqMk1OuwWtolMyH6EZWwQXuT/nTHryuh9boQJR//K448ef6vndfGY0whLqPgb2 C07uw1IuaNsADAdM9p8Ww7GsIP7S+L3TYQI1Q1QdmQNr2C8QUCt6QCgATE/YzfsQUc0eOPnf0SNS 5yoo+TJLLgWMhcQ6l/VlYTTrZtV1nho0W7/1MLa5myzQBt/mHBw0VplXsVIyHGizEGDYiZdtbe8V MRimSD/ivP0xaw6wUXOGZOeGQ6Nf/NxExHsq+fD5NjG6BzGtjo4oSy4BqyJKNR6EYie2ckQnO4RZ q1t5ob59VHaB69A1ztDLof3PIvOasMpMQaknqfwwaJkrZ7XnypsNsN5t60WL6/l/fPWkN8+jD2w2 b0jOVE94z5T938ommrB3IRkzXEKLUS3Yv/mUBx2emPvrL6a5HhHMCJzBNgD2SJ6Ho8cKIC0a2ySg WHl7XbHG6rMaR6g4EInVNzSAGz0aKfqYlhlL8qRmnRAaSW8IEX2lu5X1rwBUtOGM5ujHFYn295vj yRSAvEnAMrfrU29mYPGqrz/Kd3c4XDhihCWPA3YM0FylOXFhW4bYeCdGZG3Wk3L+dA/t+LCKXm/E eZ7/G7PNNavM19mM5PrfijmNVWET4hHewskMJdc8lmLC4Sw+y+aG6aACWybxCpapvgxHFwE2ALVo Gq6Y3n/gQC8VKQ3hsre3jU8IBCGgzZB3bTyg9Q/uqGlfBKEdaTAemWTrhna9cYSJbCU2cZ7NxkwI umKvf5Bq76ukBZHUd4znxM3LuUww735DAUvg6Greveo9evtS3H+KK4/OObjaTX695Z0VFM2KACkH C1oW/RJm09OsM9PKlCsgH/98JyAswWeAiRAVOMzlm/gdK76AiBs1p3PDAcGJg5n19ukHTCWvVU6d 9ynnLPWbZNBffqCdeVaGcJATQNITQi8SvyC7Hqrf/SWkbdoSV5S/gzhRmCbjBYZ2oLRjSwv7s+oR i8BGBYYgsbc4EfRxpgfYTsWnv6briO2JarKxtJA9yQmyWBp/l1o+lzdabOvyYbsKNnIc6LXyuoRh oDjFW4ieH8B2swLx+7hSYdQOn/5bEWKi5LB+2Ra95D+IgHvUp8PGY3c1s4sllSYjJk9s9llC4RSU 91aNUJrtmJR74GBAcVLkD6nMF7/U9rNeXVnntWmg1VieZ3MP45tFzDHs4Su0OkN0jW7yD+wuJrez RF5L4C3KRXygjGDaKSctBW8KOffNQ9QflQwdR8IzBAmuhoN9SKosRgXnbA8WiC/QtQccl1SGgesd pTh+6Ext/IGneZbsmKZb00VXHGW40leB7bhtU0yI9bPwjFLsSTkO83onXMwSC29m0CaLE/VXAdUC N+pKJw7Zd1+nS/kog0FBk794OMa+WtYjN0qsF10V7IScohI0WldCqNoKArCD+PKMzv9F4hGnN748 PW3NnGlSLVh7mkMZ/vHZxTdpRroXw6lG55GxGHu0YrMyYsQRdCWbtA3vpfLFaSEiuY345TAdbR+Z jIzTgS+yumhpMy85UHAplgdGpaUIjcJxxTPpxq9Hkh2c8e4Gy1yO2Nr22mJZMn3WJaacDkFGfGuk 3v83+qiyfeG6wSm5dLumYqS64cammiOIJk3Go5wTY7ASp3OTmmsAbgxG8xx67XvYeARSemiuME2C AuiWuENB7YYt0OlMNKlpYEYRzwMkbXivVR4CO5qbgK+gHNViP4nTZXuX81NN+gM/Bf7zB57D7aRB ZdeL+wmedNL9jU7T5ZYNbBYnKUcrhztOGW7YiPDnZ3kkbAxY5F/bbEk/TBS5HPtskjfwhiZvksJw FaUavkbMwymAo7TN6dGz19m2dWTM0HJuSDKSrYp8OQOChSdOOV7/IJK70Hk+xKIXD3FMrGFFyqaL AP3HwlPLYaYS4VMJByCFZav0 `protect end_protected library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gthe3_channel_wrapper is port ( cplllock_out : out STD_LOGIC_VECTOR ( 0 to 0 ); gthtxn_out : out STD_LOGIC_VECTOR ( 0 to 0 ); gthtxp_out : out STD_LOGIC_VECTOR ( 0 to 0 ); gtpowergood_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxcdrlock_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxoutclk_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxpmaresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 ); txoutclk_out : out STD_LOGIC_VECTOR ( 0 to 0 ); txresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_userdata_rx_out : out STD_LOGIC_VECTOR ( 15 downto 0 ); rxctrl0_out : out STD_LOGIC_VECTOR ( 1 downto 0 ); rxctrl1_out : out STD_LOGIC_VECTOR ( 1 downto 0 ); rxclkcorcnt_out : out STD_LOGIC_VECTOR ( 1 downto 0 ); txbufstatus_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxbufstatus_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxctrl2_out : out STD_LOGIC_VECTOR ( 1 downto 0 ); rxctrl3_out : out STD_LOGIC_VECTOR ( 1 downto 0 ); rst_in0 : out STD_LOGIC; \gen_gtwizard_gthe3.cpllpd_ch_int\ : in STD_LOGIC; drpclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gthrxn_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gthrxp_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtrefclk0_in : in STD_LOGIC_VECTOR ( 0 to 0 ); \gen_gtwizard_gthe3.gtrxreset_int\ : in STD_LOGIC; \gen_gtwizard_gthe3.gttxreset_int\ : in STD_LOGIC; rxmcommaalignen_in : in STD_LOGIC_VECTOR ( 0 to 0 ); \gen_gtwizard_gthe3.rxprogdivreset_int\ : in STD_LOGIC; \gen_gtwizard_gthe3.rxuserrdy_int\ : in STD_LOGIC; rxusrclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txelecidle_in : in STD_LOGIC_VECTOR ( 0 to 0 ); \gen_gtwizard_gthe3.txprogdivreset_int\ : in STD_LOGIC; \gen_gtwizard_gthe3.txuserrdy_int\ : in STD_LOGIC; gtwiz_userdata_tx_in : in STD_LOGIC_VECTOR ( 15 downto 0 ); txctrl0_in : in STD_LOGIC_VECTOR ( 1 downto 0 ); txctrl1_in : in STD_LOGIC_VECTOR ( 1 downto 0 ); rxpd_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txctrl2_in : in STD_LOGIC_VECTOR ( 1 downto 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gthe3_channel_wrapper; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gthe3_channel_wrapper is begin channel_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_gthe3_channel port map ( cplllock_out(0) => cplllock_out(0), drpclk_in(0) => drpclk_in(0), \gen_gtwizard_gthe3.cpllpd_ch_int\ => \gen_gtwizard_gthe3.cpllpd_ch_int\, \gen_gtwizard_gthe3.gtrxreset_int\ => \gen_gtwizard_gthe3.gtrxreset_int\, \gen_gtwizard_gthe3.gttxreset_int\ => \gen_gtwizard_gthe3.gttxreset_int\, \gen_gtwizard_gthe3.rxprogdivreset_int\ => \gen_gtwizard_gthe3.rxprogdivreset_int\, \gen_gtwizard_gthe3.rxuserrdy_int\ => \gen_gtwizard_gthe3.rxuserrdy_int\, \gen_gtwizard_gthe3.txprogdivreset_int\ => \gen_gtwizard_gthe3.txprogdivreset_int\, \gen_gtwizard_gthe3.txuserrdy_int\ => \gen_gtwizard_gthe3.txuserrdy_int\, gthrxn_in(0) => gthrxn_in(0), gthrxp_in(0) => gthrxp_in(0), gthtxn_out(0) => gthtxn_out(0), gthtxp_out(0) => gthtxp_out(0), gtpowergood_out(0) => gtpowergood_out(0), gtrefclk0_in(0) => gtrefclk0_in(0), gtwiz_userdata_rx_out(15 downto 0) => gtwiz_userdata_rx_out(15 downto 0), gtwiz_userdata_tx_in(15 downto 0) => gtwiz_userdata_tx_in(15 downto 0), rst_in0 => rst_in0, rxbufstatus_out(0) => rxbufstatus_out(0), rxcdrlock_out(0) => rxcdrlock_out(0), rxclkcorcnt_out(1 downto 0) => rxclkcorcnt_out(1 downto 0), rxctrl0_out(1 downto 0) => rxctrl0_out(1 downto 0), rxctrl1_out(1 downto 0) => rxctrl1_out(1 downto 0), rxctrl2_out(1 downto 0) => rxctrl2_out(1 downto 0), rxctrl3_out(1 downto 0) => rxctrl3_out(1 downto 0), rxmcommaalignen_in(0) => rxmcommaalignen_in(0), rxoutclk_out(0) => rxoutclk_out(0), rxpd_in(0) => rxpd_in(0), rxpmaresetdone_out(0) => rxpmaresetdone_out(0), rxresetdone_out(0) => rxresetdone_out(0), rxusrclk_in(0) => rxusrclk_in(0), txbufstatus_out(0) => txbufstatus_out(0), txctrl0_in(1 downto 0) => txctrl0_in(1 downto 0), txctrl1_in(1 downto 0) => txctrl1_in(1 downto 0), txctrl2_in(1 downto 0) => txctrl2_in(1 downto 0), txelecidle_in(0) => txelecidle_in(0), txoutclk_out(0) => txoutclk_out(0), txresetdone_out(0) => txresetdone_out(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_gtwiz_reset is port ( \gen_gtwizard_gthe3.txprogdivreset_int\ : out STD_LOGIC; gtwiz_reset_tx_done_out : out STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_reset_rx_done_out : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_gtwizard_gthe3.gttxreset_int\ : out STD_LOGIC; \gen_gtwizard_gthe3.txuserrdy_int\ : out STD_LOGIC; \gen_gtwizard_gthe3.rxprogdivreset_int\ : out STD_LOGIC; \gen_gtwizard_gthe3.gtrxreset_int\ : out STD_LOGIC; \gen_gtwizard_gthe3.rxuserrdy_int\ : out STD_LOGIC; \gen_gtwizard_gthe3.cpllpd_ch_int\ : out STD_LOGIC; gtpowergood_out : in STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_userclk_tx_active_in : in STD_LOGIC_VECTOR ( 0 to 0 ); cplllock_out : in STD_LOGIC_VECTOR ( 0 to 0 ); rxpmaresetdone_out : in STD_LOGIC_VECTOR ( 0 to 0 ); rxcdrlock_out : in STD_LOGIC_VECTOR ( 0 to 0 ); drpclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_reset_all_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_reset_tx_datapath_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rst_in0 : in STD_LOGIC; rxusrclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\ : in STD_LOGIC; gtwiz_reset_rx_datapath_in : in STD_LOGIC_VECTOR ( 0 to 0 ); \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.txresetdone_sync\ : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_gtwiz_reset; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_gtwiz_reset is signal \FSM_sequential_sm_reset_all[2]_i_3_n_0\ : STD_LOGIC; signal \FSM_sequential_sm_reset_all[2]_i_4_n_0\ : STD_LOGIC; signal \FSM_sequential_sm_reset_rx[1]_i_2_n_0\ : STD_LOGIC; signal \FSM_sequential_sm_reset_rx[2]_i_6_n_0\ : STD_LOGIC; signal \FSM_sequential_sm_reset_tx[2]_i_3_n_0\ : STD_LOGIC; signal bit_synchronizer_gtpowergood_inst_n_0 : STD_LOGIC; signal bit_synchronizer_gtwiz_reset_rx_pll_and_datapath_dly_inst_n_2 : STD_LOGIC; signal bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst_n_0 : STD_LOGIC; signal bit_synchronizer_gtwiz_reset_userclk_rx_active_inst_n_0 : STD_LOGIC; signal bit_synchronizer_gtwiz_reset_userclk_rx_active_inst_n_1 : STD_LOGIC; signal bit_synchronizer_gtwiz_reset_userclk_rx_active_inst_n_2 : STD_LOGIC; signal bit_synchronizer_gtwiz_reset_userclk_tx_active_inst_n_1 : STD_LOGIC; signal bit_synchronizer_gtwiz_reset_userclk_tx_active_inst_n_2 : STD_LOGIC; signal bit_synchronizer_plllock_rx_inst_n_1 : STD_LOGIC; signal bit_synchronizer_plllock_rx_inst_n_2 : STD_LOGIC; signal bit_synchronizer_plllock_tx_inst_n_1 : STD_LOGIC; signal bit_synchronizer_plllock_tx_inst_n_2 : STD_LOGIC; signal bit_synchronizer_rxcdrlock_inst_n_0 : STD_LOGIC; signal bit_synchronizer_rxcdrlock_inst_n_1 : STD_LOGIC; signal bit_synchronizer_rxcdrlock_inst_n_2 : STD_LOGIC; signal \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_pllreset_rx_int\ : STD_LOGIC; signal \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_pllreset_tx_int\ : STD_LOGIC; signal \^gen_gtwizard_gthe3.gtrxreset_int\ : STD_LOGIC; signal \^gen_gtwizard_gthe3.gttxreset_int\ : STD_LOGIC; signal \^gen_gtwizard_gthe3.rxprogdivreset_int\ : STD_LOGIC; signal \^gen_gtwizard_gthe3.rxuserrdy_int\ : STD_LOGIC; signal \^gen_gtwizard_gthe3.txuserrdy_int\ : STD_LOGIC; signal gttxreset_out_i_3_n_0 : STD_LOGIC; signal gtwiz_reset_all_sync : STD_LOGIC; signal gtwiz_reset_rx_any_sync : STD_LOGIC; signal gtwiz_reset_rx_datapath_dly : STD_LOGIC; signal gtwiz_reset_rx_datapath_int_i_1_n_0 : STD_LOGIC; signal gtwiz_reset_rx_datapath_int_reg_n_0 : STD_LOGIC; signal gtwiz_reset_rx_datapath_sync : STD_LOGIC; signal gtwiz_reset_rx_done_int_reg_n_0 : STD_LOGIC; signal gtwiz_reset_rx_pll_and_datapath_int_i_1_n_0 : STD_LOGIC; signal gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 : STD_LOGIC; signal gtwiz_reset_rx_pll_and_datapath_sync : STD_LOGIC; signal gtwiz_reset_tx_any_sync : STD_LOGIC; signal gtwiz_reset_tx_datapath_sync : STD_LOGIC; signal gtwiz_reset_tx_done_int_reg_n_0 : STD_LOGIC; signal gtwiz_reset_tx_pll_and_datapath_dly : STD_LOGIC; signal gtwiz_reset_tx_pll_and_datapath_int_i_1_n_0 : STD_LOGIC; signal gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 : STD_LOGIC; signal gtwiz_reset_tx_pll_and_datapath_sync : STD_LOGIC; signal gtwiz_reset_userclk_tx_active_sync : STD_LOGIC; signal p_0_in : STD_LOGIC; signal \p_0_in__0\ : STD_LOGIC_VECTOR ( 9 downto 0 ); signal \p_0_in__1\ : STD_LOGIC_VECTOR ( 9 downto 0 ); signal p_1_in : STD_LOGIC_VECTOR ( 2 downto 0 ); signal plllock_rx_sync : STD_LOGIC; signal plllock_tx_sync : STD_LOGIC; signal reset_synchronizer_gtwiz_reset_rx_any_inst_n_1 : STD_LOGIC; signal reset_synchronizer_gtwiz_reset_rx_any_inst_n_2 : STD_LOGIC; signal reset_synchronizer_gtwiz_reset_rx_any_inst_n_3 : STD_LOGIC; signal reset_synchronizer_gtwiz_reset_tx_any_inst_n_1 : STD_LOGIC; signal reset_synchronizer_gtwiz_reset_tx_any_inst_n_2 : STD_LOGIC; signal reset_synchronizer_gtwiz_reset_tx_any_inst_n_3 : STD_LOGIC; signal sel : STD_LOGIC; signal sm_reset_all : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \sm_reset_all__0\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal sm_reset_all_timer_clr_i_1_n_0 : STD_LOGIC; signal sm_reset_all_timer_clr_i_2_n_0 : STD_LOGIC; signal sm_reset_all_timer_clr_reg_n_0 : STD_LOGIC; signal sm_reset_all_timer_ctr : STD_LOGIC_VECTOR ( 2 downto 0 ); signal sm_reset_all_timer_ctr0_n_0 : STD_LOGIC; signal \sm_reset_all_timer_ctr[0]_i_1_n_0\ : STD_LOGIC; signal \sm_reset_all_timer_ctr[1]_i_1_n_0\ : STD_LOGIC; signal \sm_reset_all_timer_ctr[2]_i_1_n_0\ : STD_LOGIC; signal sm_reset_all_timer_sat : STD_LOGIC; signal sm_reset_all_timer_sat_i_1_n_0 : STD_LOGIC; signal sm_reset_rx : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \sm_reset_rx__0\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal sm_reset_rx_cdr_to_clr : STD_LOGIC; signal sm_reset_rx_cdr_to_clr_i_3_n_0 : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr[0]_i_3_n_0\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr[0]_i_4_n_0\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr[0]_i_5_n_0\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr[0]_i_6_n_0\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr[0]_i_7_n_0\ : STD_LOGIC; signal sm_reset_rx_cdr_to_ctr_reg : STD_LOGIC_VECTOR ( 25 downto 0 ); signal \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_0\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_1\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_10\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_11\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_12\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_13\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_14\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_15\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_2\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_3\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_4\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_5\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_6\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_7\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_8\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_9\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_0\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_1\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_10\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_11\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_12\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_13\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_14\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_15\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_2\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_3\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_4\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_5\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_6\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_7\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_8\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_9\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[24]_i_1_n_14\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[24]_i_1_n_15\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[24]_i_1_n_7\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_0\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_1\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_10\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_11\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_12\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_13\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_14\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_15\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_2\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_3\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_4\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_5\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_6\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_7\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_8\ : STD_LOGIC; signal \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_9\ : STD_LOGIC; signal sm_reset_rx_cdr_to_sat : STD_LOGIC; signal sm_reset_rx_cdr_to_sat_i_1_n_0 : STD_LOGIC; signal sm_reset_rx_cdr_to_sat_i_2_n_0 : STD_LOGIC; signal sm_reset_rx_cdr_to_sat_i_3_n_0 : STD_LOGIC; signal sm_reset_rx_cdr_to_sat_i_4_n_0 : STD_LOGIC; signal sm_reset_rx_cdr_to_sat_i_5_n_0 : STD_LOGIC; signal sm_reset_rx_cdr_to_sat_i_6_n_0 : STD_LOGIC; signal sm_reset_rx_pll_timer_clr_i_1_n_0 : STD_LOGIC; signal sm_reset_rx_pll_timer_clr_reg_n_0 : STD_LOGIC; signal \sm_reset_rx_pll_timer_ctr[9]_i_1_n_0\ : STD_LOGIC; signal \sm_reset_rx_pll_timer_ctr[9]_i_3_n_0\ : STD_LOGIC; signal \sm_reset_rx_pll_timer_ctr[9]_i_4_n_0\ : STD_LOGIC; signal sm_reset_rx_pll_timer_ctr_reg : STD_LOGIC_VECTOR ( 9 downto 0 ); signal sm_reset_rx_pll_timer_sat : STD_LOGIC; signal sm_reset_rx_pll_timer_sat_i_1_n_0 : STD_LOGIC; signal sm_reset_rx_pll_timer_sat_i_2_n_0 : STD_LOGIC; signal sm_reset_rx_pll_timer_sat_i_3_n_0 : STD_LOGIC; signal sm_reset_rx_timer_clr_reg_n_0 : STD_LOGIC; signal sm_reset_rx_timer_ctr : STD_LOGIC_VECTOR ( 2 downto 0 ); signal sm_reset_rx_timer_ctr0_n_0 : STD_LOGIC; signal \sm_reset_rx_timer_ctr[0]_i_1_n_0\ : STD_LOGIC; signal \sm_reset_rx_timer_ctr[1]_i_1_n_0\ : STD_LOGIC; signal \sm_reset_rx_timer_ctr[2]_i_1_n_0\ : STD_LOGIC; signal sm_reset_rx_timer_sat : STD_LOGIC; signal sm_reset_rx_timer_sat_i_1_n_0 : STD_LOGIC; signal sm_reset_tx : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \sm_reset_tx__0\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal sm_reset_tx_pll_timer_clr_i_1_n_0 : STD_LOGIC; signal sm_reset_tx_pll_timer_clr_reg_n_0 : STD_LOGIC; signal \sm_reset_tx_pll_timer_ctr[9]_i_3_n_0\ : STD_LOGIC; signal \sm_reset_tx_pll_timer_ctr[9]_i_4_n_0\ : STD_LOGIC; signal sm_reset_tx_pll_timer_ctr_reg : STD_LOGIC_VECTOR ( 9 downto 0 ); signal sm_reset_tx_pll_timer_sat : STD_LOGIC; signal sm_reset_tx_pll_timer_sat_i_1_n_0 : STD_LOGIC; signal sm_reset_tx_pll_timer_sat_i_2_n_0 : STD_LOGIC; signal sm_reset_tx_pll_timer_sat_i_3_n_0 : STD_LOGIC; signal sm_reset_tx_timer_clr_reg_n_0 : STD_LOGIC; signal sm_reset_tx_timer_ctr : STD_LOGIC_VECTOR ( 2 downto 0 ); signal sm_reset_tx_timer_sat : STD_LOGIC; signal sm_reset_tx_timer_sat_i_1_n_0 : STD_LOGIC; signal txuserrdy_out_i_3_n_0 : STD_LOGIC; signal \NLW_sm_reset_rx_cdr_to_ctr_reg[24]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 1 ); signal \NLW_sm_reset_rx_cdr_to_ctr_reg[24]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 2 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \FSM_sequential_sm_reset_all[1]_i_1\ : label is "soft_lutpair57"; attribute SOFT_HLUTNM of \FSM_sequential_sm_reset_all[2]_i_2\ : label is "soft_lutpair57"; attribute SOFT_HLUTNM of \FSM_sequential_sm_reset_all[2]_i_3\ : label is "soft_lutpair54"; attribute SOFT_HLUTNM of \FSM_sequential_sm_reset_all[2]_i_4\ : label is "soft_lutpair54"; attribute FSM_ENCODED_STATES : string; attribute FSM_ENCODED_STATES of \FSM_sequential_sm_reset_all_reg[0]\ : label is "ST_RESET_ALL_BRANCH:000,ST_RESET_ALL_TX_PLL_WAIT:010,ST_RESET_ALL_RX_WAIT:101,ST_RESET_ALL_TX_PLL:001,ST_RESET_ALL_RX_PLL:100,ST_RESET_ALL_RX_DP:011,ST_RESET_ALL_INIT:111,iSTATE:110"; attribute FSM_ENCODED_STATES of \FSM_sequential_sm_reset_all_reg[1]\ : label is "ST_RESET_ALL_BRANCH:000,ST_RESET_ALL_TX_PLL_WAIT:010,ST_RESET_ALL_RX_WAIT:101,ST_RESET_ALL_TX_PLL:001,ST_RESET_ALL_RX_PLL:100,ST_RESET_ALL_RX_DP:011,ST_RESET_ALL_INIT:111,iSTATE:110"; attribute FSM_ENCODED_STATES of \FSM_sequential_sm_reset_all_reg[2]\ : label is "ST_RESET_ALL_BRANCH:000,ST_RESET_ALL_TX_PLL_WAIT:010,ST_RESET_ALL_RX_WAIT:101,ST_RESET_ALL_TX_PLL:001,ST_RESET_ALL_RX_PLL:100,ST_RESET_ALL_RX_DP:011,ST_RESET_ALL_INIT:111,iSTATE:110"; attribute SOFT_HLUTNM of \FSM_sequential_sm_reset_rx[1]_i_2\ : label is "soft_lutpair49"; attribute SOFT_HLUTNM of \FSM_sequential_sm_reset_rx[2]_i_6\ : label is "soft_lutpair42"; attribute FSM_ENCODED_STATES of \FSM_sequential_sm_reset_rx_reg[0]\ : label is "ST_RESET_RX_WAIT_LOCK:011,ST_RESET_RX_WAIT_CDR:100,ST_RESET_RX_WAIT_USERRDY:101,ST_RESET_RX_WAIT_RESETDONE:110,ST_RESET_RX_DATAPATH:010,ST_RESET_RX_PLL:001,ST_RESET_RX_BRANCH:000,ST_RESET_RX_IDLE:111"; attribute FSM_ENCODED_STATES of \FSM_sequential_sm_reset_rx_reg[1]\ : label is "ST_RESET_RX_WAIT_LOCK:011,ST_RESET_RX_WAIT_CDR:100,ST_RESET_RX_WAIT_USERRDY:101,ST_RESET_RX_WAIT_RESETDONE:110,ST_RESET_RX_DATAPATH:010,ST_RESET_RX_PLL:001,ST_RESET_RX_BRANCH:000,ST_RESET_RX_IDLE:111"; attribute FSM_ENCODED_STATES of \FSM_sequential_sm_reset_rx_reg[2]\ : label is "ST_RESET_RX_WAIT_LOCK:011,ST_RESET_RX_WAIT_CDR:100,ST_RESET_RX_WAIT_USERRDY:101,ST_RESET_RX_WAIT_RESETDONE:110,ST_RESET_RX_DATAPATH:010,ST_RESET_RX_PLL:001,ST_RESET_RX_BRANCH:000,ST_RESET_RX_IDLE:111"; attribute SOFT_HLUTNM of \FSM_sequential_sm_reset_tx[2]_i_2\ : label is "soft_lutpair50"; attribute SOFT_HLUTNM of \FSM_sequential_sm_reset_tx[2]_i_3\ : label is "soft_lutpair45"; attribute FSM_ENCODED_STATES of \FSM_sequential_sm_reset_tx_reg[0]\ : label is "ST_RESET_TX_BRANCH:000,ST_RESET_TX_WAIT_LOCK:011,ST_RESET_TX_WAIT_USERRDY:100,ST_RESET_TX_WAIT_RESETDONE:101,ST_RESET_TX_IDLE:110,ST_RESET_TX_DATAPATH:010,ST_RESET_TX_PLL:001"; attribute FSM_ENCODED_STATES of \FSM_sequential_sm_reset_tx_reg[1]\ : label is "ST_RESET_TX_BRANCH:000,ST_RESET_TX_WAIT_LOCK:011,ST_RESET_TX_WAIT_USERRDY:100,ST_RESET_TX_WAIT_RESETDONE:101,ST_RESET_TX_IDLE:110,ST_RESET_TX_DATAPATH:010,ST_RESET_TX_PLL:001"; attribute FSM_ENCODED_STATES of \FSM_sequential_sm_reset_tx_reg[2]\ : label is "ST_RESET_TX_BRANCH:000,ST_RESET_TX_WAIT_LOCK:011,ST_RESET_TX_WAIT_USERRDY:100,ST_RESET_TX_WAIT_RESETDONE:101,ST_RESET_TX_IDLE:110,ST_RESET_TX_DATAPATH:010,ST_RESET_TX_PLL:001"; attribute SOFT_HLUTNM of gttxreset_out_i_3 : label is "soft_lutpair48"; attribute SOFT_HLUTNM of gtwiz_reset_rx_datapath_int_i_1 : label is "soft_lutpair51"; attribute SOFT_HLUTNM of gtwiz_reset_tx_pll_and_datapath_int_i_1 : label is "soft_lutpair51"; attribute SOFT_HLUTNM of \sm_reset_all_timer_ctr[1]_i_1\ : label is "soft_lutpair60"; attribute SOFT_HLUTNM of \sm_reset_all_timer_ctr[2]_i_1\ : label is "soft_lutpair60"; attribute SOFT_HLUTNM of sm_reset_rx_cdr_to_clr_i_3 : label is "soft_lutpair42"; attribute ADDER_THRESHOLD : integer; attribute ADDER_THRESHOLD of \sm_reset_rx_cdr_to_ctr_reg[0]_i_2\ : label is 16; attribute ADDER_THRESHOLD of \sm_reset_rx_cdr_to_ctr_reg[16]_i_1\ : label is 16; attribute ADDER_THRESHOLD of \sm_reset_rx_cdr_to_ctr_reg[24]_i_1\ : label is 16; attribute ADDER_THRESHOLD of \sm_reset_rx_cdr_to_ctr_reg[8]_i_1\ : label is 16; attribute SOFT_HLUTNM of \sm_reset_rx_pll_timer_ctr[0]_i_1\ : label is "soft_lutpair62"; attribute SOFT_HLUTNM of \sm_reset_rx_pll_timer_ctr[1]_i_1\ : label is "soft_lutpair62"; attribute SOFT_HLUTNM of \sm_reset_rx_pll_timer_ctr[2]_i_1\ : label is "soft_lutpair53"; attribute SOFT_HLUTNM of \sm_reset_rx_pll_timer_ctr[3]_i_1\ : label is "soft_lutpair53"; attribute SOFT_HLUTNM of \sm_reset_rx_pll_timer_ctr[4]_i_1\ : label is "soft_lutpair47"; attribute SOFT_HLUTNM of \sm_reset_rx_pll_timer_ctr[6]_i_1\ : label is "soft_lutpair56"; attribute SOFT_HLUTNM of \sm_reset_rx_pll_timer_ctr[7]_i_1\ : label is "soft_lutpair56"; attribute SOFT_HLUTNM of \sm_reset_rx_pll_timer_ctr[8]_i_1\ : label is "soft_lutpair44"; attribute SOFT_HLUTNM of \sm_reset_rx_pll_timer_ctr[9]_i_2\ : label is "soft_lutpair44"; attribute SOFT_HLUTNM of sm_reset_rx_pll_timer_sat_i_2 : label is "soft_lutpair47"; attribute SOFT_HLUTNM of \sm_reset_rx_timer_ctr[1]_i_1\ : label is "soft_lutpair59"; attribute SOFT_HLUTNM of \sm_reset_rx_timer_ctr[2]_i_1\ : label is "soft_lutpair59"; attribute SOFT_HLUTNM of sm_reset_rx_timer_sat_i_1 : label is "soft_lutpair49"; attribute SOFT_HLUTNM of sm_reset_tx_pll_timer_clr_i_1 : label is "soft_lutpair45"; attribute SOFT_HLUTNM of \sm_reset_tx_pll_timer_ctr[0]_i_1\ : label is "soft_lutpair61"; attribute SOFT_HLUTNM of \sm_reset_tx_pll_timer_ctr[1]_i_1\ : label is "soft_lutpair61"; attribute SOFT_HLUTNM of \sm_reset_tx_pll_timer_ctr[2]_i_1\ : label is "soft_lutpair52"; attribute SOFT_HLUTNM of \sm_reset_tx_pll_timer_ctr[3]_i_1\ : label is "soft_lutpair52"; attribute SOFT_HLUTNM of \sm_reset_tx_pll_timer_ctr[4]_i_1\ : label is "soft_lutpair46"; attribute SOFT_HLUTNM of \sm_reset_tx_pll_timer_ctr[6]_i_1\ : label is "soft_lutpair55"; attribute SOFT_HLUTNM of \sm_reset_tx_pll_timer_ctr[7]_i_1\ : label is "soft_lutpair55"; attribute SOFT_HLUTNM of \sm_reset_tx_pll_timer_ctr[8]_i_1\ : label is "soft_lutpair43"; attribute SOFT_HLUTNM of \sm_reset_tx_pll_timer_ctr[9]_i_2\ : label is "soft_lutpair43"; attribute SOFT_HLUTNM of sm_reset_tx_pll_timer_sat_i_2 : label is "soft_lutpair46"; attribute SOFT_HLUTNM of \sm_reset_tx_timer_ctr[1]_i_1\ : label is "soft_lutpair58"; attribute SOFT_HLUTNM of \sm_reset_tx_timer_ctr[2]_i_1\ : label is "soft_lutpair58"; attribute SOFT_HLUTNM of sm_reset_tx_timer_sat_i_1 : label is "soft_lutpair48"; attribute SOFT_HLUTNM of txuserrdy_out_i_3 : label is "soft_lutpair50"; begin \gen_gtwizard_gthe3.gtrxreset_int\ <= \^gen_gtwizard_gthe3.gtrxreset_int\; \gen_gtwizard_gthe3.gttxreset_int\ <= \^gen_gtwizard_gthe3.gttxreset_int\; \gen_gtwizard_gthe3.rxprogdivreset_int\ <= \^gen_gtwizard_gthe3.rxprogdivreset_int\; \gen_gtwizard_gthe3.rxuserrdy_int\ <= \^gen_gtwizard_gthe3.rxuserrdy_int\; \gen_gtwizard_gthe3.txuserrdy_int\ <= \^gen_gtwizard_gthe3.txuserrdy_int\; \FSM_sequential_sm_reset_all[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00FFF70000FFFFFF" ) port map ( I0 => gtwiz_reset_rx_done_int_reg_n_0, I1 => sm_reset_all_timer_sat, I2 => sm_reset_all_timer_clr_reg_n_0, I3 => sm_reset_all(2), I4 => sm_reset_all(1), I5 => sm_reset_all(0), O => \sm_reset_all__0\(0) ); \FSM_sequential_sm_reset_all[1]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"34" ) port map ( I0 => sm_reset_all(2), I1 => sm_reset_all(1), I2 => sm_reset_all(0), O => \sm_reset_all__0\(1) ); \FSM_sequential_sm_reset_all[2]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"4A" ) port map ( I0 => sm_reset_all(2), I1 => sm_reset_all(0), I2 => sm_reset_all(1), O => \sm_reset_all__0\(2) ); \FSM_sequential_sm_reset_all[2]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"08" ) port map ( I0 => sm_reset_all_timer_sat, I1 => gtwiz_reset_rx_done_int_reg_n_0, I2 => sm_reset_all_timer_clr_reg_n_0, O => \FSM_sequential_sm_reset_all[2]_i_3_n_0\ ); \FSM_sequential_sm_reset_all[2]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"40" ) port map ( I0 => sm_reset_all_timer_clr_reg_n_0, I1 => sm_reset_all_timer_sat, I2 => gtwiz_reset_tx_done_int_reg_n_0, O => \FSM_sequential_sm_reset_all[2]_i_4_n_0\ ); \FSM_sequential_sm_reset_all_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => drpclk_in(0), CE => bit_synchronizer_gtpowergood_inst_n_0, D => \sm_reset_all__0\(0), Q => sm_reset_all(0), R => gtwiz_reset_all_sync ); \FSM_sequential_sm_reset_all_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => drpclk_in(0), CE => bit_synchronizer_gtpowergood_inst_n_0, D => \sm_reset_all__0\(1), Q => sm_reset_all(1), R => gtwiz_reset_all_sync ); \FSM_sequential_sm_reset_all_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => drpclk_in(0), CE => bit_synchronizer_gtpowergood_inst_n_0, D => \sm_reset_all__0\(2), Q => sm_reset_all(2), R => gtwiz_reset_all_sync ); \FSM_sequential_sm_reset_rx[1]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => sm_reset_rx_timer_sat, I1 => sm_reset_rx_timer_clr_reg_n_0, O => \FSM_sequential_sm_reset_rx[1]_i_2_n_0\ ); \FSM_sequential_sm_reset_rx[2]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"DDFD8888DDDD8888" ) port map ( I0 => sm_reset_rx(1), I1 => sm_reset_rx(0), I2 => sm_reset_rx_timer_sat, I3 => sm_reset_rx_timer_clr_reg_n_0, I4 => sm_reset_rx(2), I5 => \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\, O => \sm_reset_rx__0\(2) ); \FSM_sequential_sm_reset_rx[2]_i_6\: unisim.vcomponents.LUT5 generic map( INIT => X"00004000" ) port map ( I0 => sm_reset_rx(0), I1 => \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\, I2 => sm_reset_rx(1), I3 => sm_reset_rx_timer_sat, I4 => sm_reset_rx_timer_clr_reg_n_0, O => \FSM_sequential_sm_reset_rx[2]_i_6_n_0\ ); \FSM_sequential_sm_reset_rx_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => bit_synchronizer_gtwiz_reset_userclk_rx_active_inst_n_2, D => \sm_reset_rx__0\(0), Q => sm_reset_rx(0), R => gtwiz_reset_rx_any_sync ); \FSM_sequential_sm_reset_rx_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => bit_synchronizer_gtwiz_reset_userclk_rx_active_inst_n_2, D => \sm_reset_rx__0\(1), Q => sm_reset_rx(1), R => gtwiz_reset_rx_any_sync ); \FSM_sequential_sm_reset_rx_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => bit_synchronizer_gtwiz_reset_userclk_rx_active_inst_n_2, D => \sm_reset_rx__0\(2), Q => sm_reset_rx(2), R => gtwiz_reset_rx_any_sync ); \FSM_sequential_sm_reset_tx[2]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"38" ) port map ( I0 => sm_reset_tx(0), I1 => sm_reset_tx(1), I2 => sm_reset_tx(2), O => \sm_reset_tx__0\(2) ); \FSM_sequential_sm_reset_tx[2]_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => sm_reset_tx(1), I1 => sm_reset_tx(2), O => \FSM_sequential_sm_reset_tx[2]_i_3_n_0\ ); \FSM_sequential_sm_reset_tx_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst_n_0, D => \sm_reset_tx__0\(0), Q => sm_reset_tx(0), R => gtwiz_reset_tx_any_sync ); \FSM_sequential_sm_reset_tx_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst_n_0, D => \sm_reset_tx__0\(1), Q => sm_reset_tx(1), R => gtwiz_reset_tx_any_sync ); \FSM_sequential_sm_reset_tx_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst_n_0, D => \sm_reset_tx__0\(2), Q => sm_reset_tx(2), R => gtwiz_reset_tx_any_sync ); bit_synchronizer_gtpowergood_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_4 port map ( E(0) => bit_synchronizer_gtpowergood_inst_n_0, \FSM_sequential_sm_reset_all_reg[0]\ => \FSM_sequential_sm_reset_all[2]_i_3_n_0\, \FSM_sequential_sm_reset_all_reg[0]_0\ => \FSM_sequential_sm_reset_all[2]_i_4_n_0\, Q(2 downto 0) => sm_reset_all(2 downto 0), drpclk_in(0) => drpclk_in(0), gtpowergood_out(0) => gtpowergood_out(0) ); bit_synchronizer_gtwiz_reset_rx_datapath_dly_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_5 port map ( drpclk_in(0) => drpclk_in(0), gtwiz_reset_rx_datapath_dly => gtwiz_reset_rx_datapath_dly, in0 => gtwiz_reset_rx_datapath_sync ); bit_synchronizer_gtwiz_reset_rx_pll_and_datapath_dly_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_6 port map ( D(1 downto 0) => \sm_reset_rx__0\(1 downto 0), \FSM_sequential_sm_reset_rx_reg[0]\ => \FSM_sequential_sm_reset_rx[1]_i_2_n_0\, \FSM_sequential_sm_reset_rx_reg[0]_0\ => \FSM_sequential_sm_reset_rx[2]_i_6_n_0\, Q(2 downto 0) => sm_reset_rx(2 downto 0), drpclk_in(0) => drpclk_in(0), \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\ => \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\, gtwiz_reset_rx_datapath_dly => gtwiz_reset_rx_datapath_dly, i_in_out_reg_0 => bit_synchronizer_gtwiz_reset_rx_pll_and_datapath_dly_inst_n_2, in0 => gtwiz_reset_rx_pll_and_datapath_sync ); bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_7 port map ( E(0) => bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst_n_0, \FSM_sequential_sm_reset_tx_reg[0]\ => \FSM_sequential_sm_reset_tx[2]_i_3_n_0\, \FSM_sequential_sm_reset_tx_reg[0]_0\ => bit_synchronizer_plllock_tx_inst_n_2, \FSM_sequential_sm_reset_tx_reg[0]_1\ => bit_synchronizer_gtwiz_reset_userclk_tx_active_inst_n_2, Q(0) => sm_reset_tx(0), drpclk_in(0) => drpclk_in(0), gtwiz_reset_tx_pll_and_datapath_dly => gtwiz_reset_tx_pll_and_datapath_dly, in0 => gtwiz_reset_tx_datapath_sync ); bit_synchronizer_gtwiz_reset_tx_pll_and_datapath_dly_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_8 port map ( D(1 downto 0) => \sm_reset_tx__0\(1 downto 0), Q(2 downto 0) => sm_reset_tx(2 downto 0), drpclk_in(0) => drpclk_in(0), gtwiz_reset_tx_pll_and_datapath_dly => gtwiz_reset_tx_pll_and_datapath_dly, in0 => gtwiz_reset_tx_pll_and_datapath_sync ); bit_synchronizer_gtwiz_reset_userclk_rx_active_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_9 port map ( E(0) => bit_synchronizer_gtwiz_reset_userclk_rx_active_inst_n_2, \FSM_sequential_sm_reset_rx_reg[0]\ => bit_synchronizer_gtwiz_reset_userclk_rx_active_inst_n_0, \FSM_sequential_sm_reset_rx_reg[0]_0\ => bit_synchronizer_rxcdrlock_inst_n_1, \FSM_sequential_sm_reset_rx_reg[0]_1\ => bit_synchronizer_gtwiz_reset_rx_pll_and_datapath_dly_inst_n_2, \FSM_sequential_sm_reset_rx_reg[0]_2\ => sm_reset_rx_pll_timer_clr_reg_n_0, \FSM_sequential_sm_reset_rx_reg[2]\ => bit_synchronizer_gtwiz_reset_userclk_rx_active_inst_n_1, Q(2 downto 0) => sm_reset_rx(2 downto 0), drpclk_in(0) => drpclk_in(0), \gen_gtwizard_gthe3.rxuserrdy_int\ => \^gen_gtwizard_gthe3.rxuserrdy_int\, gtwiz_reset_rx_any_sync => gtwiz_reset_rx_any_sync, rxpmaresetdone_out(0) => rxpmaresetdone_out(0), sm_reset_rx_pll_timer_sat => sm_reset_rx_pll_timer_sat, sm_reset_rx_timer_clr_reg => bit_synchronizer_plllock_rx_inst_n_2, sm_reset_rx_timer_clr_reg_0 => sm_reset_rx_timer_clr_reg_n_0, sm_reset_rx_timer_sat => sm_reset_rx_timer_sat ); bit_synchronizer_gtwiz_reset_userclk_tx_active_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_10 port map ( \FSM_sequential_sm_reset_tx_reg[0]\ => txuserrdy_out_i_3_n_0, \FSM_sequential_sm_reset_tx_reg[0]_0\ => \FSM_sequential_sm_reset_tx[2]_i_3_n_0\, \FSM_sequential_sm_reset_tx_reg[0]_1\ => sm_reset_tx_pll_timer_clr_reg_n_0, \FSM_sequential_sm_reset_tx_reg[2]\ => bit_synchronizer_gtwiz_reset_userclk_tx_active_inst_n_1, Q(2 downto 0) => sm_reset_tx(2 downto 0), drpclk_in(0) => drpclk_in(0), \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.txresetdone_sync\ => \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.txresetdone_sync\, gtwiz_reset_userclk_tx_active_sync => gtwiz_reset_userclk_tx_active_sync, gtwiz_userclk_tx_active_in(0) => gtwiz_userclk_tx_active_in(0), i_in_out_reg_0 => bit_synchronizer_gtwiz_reset_userclk_tx_active_inst_n_2, plllock_tx_sync => plllock_tx_sync, sm_reset_tx_pll_timer_sat => sm_reset_tx_pll_timer_sat, sm_reset_tx_timer_clr_reg => sm_reset_tx_timer_clr_reg_n_0, sm_reset_tx_timer_clr_reg_0 => gttxreset_out_i_3_n_0 ); bit_synchronizer_plllock_rx_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_11 port map ( \FSM_sequential_sm_reset_rx_reg[1]\ => bit_synchronizer_plllock_rx_inst_n_2, Q(2 downto 0) => sm_reset_rx(2 downto 0), cplllock_out(0) => cplllock_out(0), drpclk_in(0) => drpclk_in(0), \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\ => \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\, gtwiz_reset_rx_done_int_reg => \FSM_sequential_sm_reset_rx[1]_i_2_n_0\, gtwiz_reset_rx_done_int_reg_0 => gtwiz_reset_rx_done_int_reg_n_0, i_in_out_reg_0 => bit_synchronizer_plllock_rx_inst_n_1, plllock_rx_sync => plllock_rx_sync ); bit_synchronizer_plllock_tx_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_12 port map ( \FSM_sequential_sm_reset_tx_reg[0]\ => gttxreset_out_i_3_n_0, Q(2 downto 0) => sm_reset_tx(2 downto 0), cplllock_out(0) => cplllock_out(0), drpclk_in(0) => drpclk_in(0), \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.txresetdone_sync\ => \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.txresetdone_sync\, gtwiz_reset_tx_done_int_reg => bit_synchronizer_plllock_tx_inst_n_1, gtwiz_reset_tx_done_int_reg_0 => gtwiz_reset_tx_done_int_reg_n_0, gtwiz_reset_tx_done_int_reg_1 => sm_reset_tx_timer_clr_reg_n_0, i_in_out_reg_0 => bit_synchronizer_plllock_tx_inst_n_2, plllock_tx_sync => plllock_tx_sync, sm_reset_tx_timer_sat => sm_reset_tx_timer_sat ); bit_synchronizer_rxcdrlock_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_13 port map ( \FSM_sequential_sm_reset_rx_reg[0]\ => \FSM_sequential_sm_reset_rx[1]_i_2_n_0\, \FSM_sequential_sm_reset_rx_reg[1]\ => bit_synchronizer_rxcdrlock_inst_n_1, \FSM_sequential_sm_reset_rx_reg[2]\ => bit_synchronizer_rxcdrlock_inst_n_0, Q(2 downto 0) => sm_reset_rx(2 downto 0), drpclk_in(0) => drpclk_in(0), plllock_rx_sync => plllock_rx_sync, rxcdrlock_out(0) => rxcdrlock_out(0), sm_reset_rx_cdr_to_clr => sm_reset_rx_cdr_to_clr, sm_reset_rx_cdr_to_clr_reg => sm_reset_rx_cdr_to_clr_i_3_n_0, sm_reset_rx_cdr_to_sat => sm_reset_rx_cdr_to_sat, sm_reset_rx_cdr_to_sat_reg => bit_synchronizer_rxcdrlock_inst_n_2 ); \gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_pllreset_tx_int\, I1 => \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_pllreset_rx_int\, O => \gen_gtwizard_gthe3.cpllpd_ch_int\ ); gtrxreset_out_reg: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => drpclk_in(0), CE => '1', D => reset_synchronizer_gtwiz_reset_rx_any_inst_n_3, Q => \^gen_gtwizard_gthe3.gtrxreset_int\, R => '0' ); gttxreset_out_i_3: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => sm_reset_tx_timer_sat, I1 => sm_reset_tx_timer_clr_reg_n_0, O => gttxreset_out_i_3_n_0 ); gttxreset_out_reg: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => drpclk_in(0), CE => '1', D => reset_synchronizer_gtwiz_reset_tx_any_inst_n_2, Q => \^gen_gtwizard_gthe3.gttxreset_int\, R => '0' ); gtwiz_reset_rx_datapath_int_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"F740" ) port map ( I0 => sm_reset_all(2), I1 => sm_reset_all(0), I2 => sm_reset_all(1), I3 => gtwiz_reset_rx_datapath_int_reg_n_0, O => gtwiz_reset_rx_datapath_int_i_1_n_0 ); gtwiz_reset_rx_datapath_int_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => gtwiz_reset_rx_datapath_int_i_1_n_0, Q => gtwiz_reset_rx_datapath_int_reg_n_0, R => gtwiz_reset_all_sync ); gtwiz_reset_rx_done_int_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => bit_synchronizer_plllock_rx_inst_n_1, Q => gtwiz_reset_rx_done_int_reg_n_0, R => gtwiz_reset_rx_any_sync ); gtwiz_reset_rx_pll_and_datapath_int_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"F704" ) port map ( I0 => sm_reset_all(0), I1 => sm_reset_all(2), I2 => sm_reset_all(1), I3 => gtwiz_reset_rx_pll_and_datapath_int_reg_n_0, O => gtwiz_reset_rx_pll_and_datapath_int_i_1_n_0 ); gtwiz_reset_rx_pll_and_datapath_int_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => gtwiz_reset_rx_pll_and_datapath_int_i_1_n_0, Q => gtwiz_reset_rx_pll_and_datapath_int_reg_n_0, R => gtwiz_reset_all_sync ); gtwiz_reset_tx_done_int_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => bit_synchronizer_plllock_tx_inst_n_1, Q => gtwiz_reset_tx_done_int_reg_n_0, R => gtwiz_reset_tx_any_sync ); gtwiz_reset_tx_pll_and_datapath_int_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"FB02" ) port map ( I0 => sm_reset_all(0), I1 => sm_reset_all(1), I2 => sm_reset_all(2), I3 => gtwiz_reset_tx_pll_and_datapath_int_reg_n_0, O => gtwiz_reset_tx_pll_and_datapath_int_i_1_n_0 ); gtwiz_reset_tx_pll_and_datapath_int_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => gtwiz_reset_tx_pll_and_datapath_int_i_1_n_0, Q => gtwiz_reset_tx_pll_and_datapath_int_reg_n_0, R => gtwiz_reset_all_sync ); pllreset_rx_out_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => reset_synchronizer_gtwiz_reset_rx_any_inst_n_1, Q => \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_pllreset_rx_int\, R => '0' ); pllreset_tx_out_reg: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => drpclk_in(0), CE => '1', D => reset_synchronizer_gtwiz_reset_tx_any_inst_n_1, Q => \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_pllreset_tx_int\, R => '0' ); reset_synchronizer_gtwiz_reset_all_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_synchronizer port map ( drpclk_in(0) => drpclk_in(0), gtwiz_reset_all_in(0) => gtwiz_reset_all_in(0), gtwiz_reset_all_sync => gtwiz_reset_all_sync ); reset_synchronizer_gtwiz_reset_rx_any_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_synchronizer_14 port map ( \FSM_sequential_sm_reset_rx_reg[1]\ => reset_synchronizer_gtwiz_reset_rx_any_inst_n_1, \FSM_sequential_sm_reset_rx_reg[1]_0\ => reset_synchronizer_gtwiz_reset_rx_any_inst_n_2, \FSM_sequential_sm_reset_rx_reg[1]_1\ => reset_synchronizer_gtwiz_reset_rx_any_inst_n_3, Q(2 downto 0) => sm_reset_rx(2 downto 0), drpclk_in(0) => drpclk_in(0), \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_pllreset_rx_int\ => \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_pllreset_rx_int\, \gen_gtwizard_gthe3.gtrxreset_int\ => \^gen_gtwizard_gthe3.gtrxreset_int\, \gen_gtwizard_gthe3.rxprogdivreset_int\ => \^gen_gtwizard_gthe3.rxprogdivreset_int\, gtrxreset_out_reg => \FSM_sequential_sm_reset_rx[1]_i_2_n_0\, gtwiz_reset_rx_any_sync => gtwiz_reset_rx_any_sync, gtwiz_reset_rx_datapath_in(0) => gtwiz_reset_rx_datapath_in(0), plllock_rx_sync => plllock_rx_sync, rst_in_out_reg_0 => gtwiz_reset_rx_datapath_int_reg_n_0, rst_in_out_reg_1 => gtwiz_reset_rx_pll_and_datapath_int_reg_n_0, rxprogdivreset_out_reg => bit_synchronizer_rxcdrlock_inst_n_2 ); reset_synchronizer_gtwiz_reset_rx_datapath_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_synchronizer_15 port map ( drpclk_in(0) => drpclk_in(0), gtwiz_reset_rx_datapath_in(0) => gtwiz_reset_rx_datapath_in(0), in0 => gtwiz_reset_rx_datapath_sync, rst_in_out_reg_0 => gtwiz_reset_rx_datapath_int_reg_n_0 ); reset_synchronizer_gtwiz_reset_rx_pll_and_datapath_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_synchronizer_16 port map ( drpclk_in(0) => drpclk_in(0), in0 => gtwiz_reset_rx_pll_and_datapath_sync, rst_in_meta_reg_0 => gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 ); reset_synchronizer_gtwiz_reset_tx_any_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_synchronizer_17 port map ( \FSM_sequential_sm_reset_tx_reg[0]\ => reset_synchronizer_gtwiz_reset_tx_any_inst_n_3, \FSM_sequential_sm_reset_tx_reg[1]\ => reset_synchronizer_gtwiz_reset_tx_any_inst_n_1, \FSM_sequential_sm_reset_tx_reg[1]_0\ => reset_synchronizer_gtwiz_reset_tx_any_inst_n_2, Q(2 downto 0) => sm_reset_tx(2 downto 0), drpclk_in(0) => drpclk_in(0), \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_pllreset_tx_int\ => \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_pllreset_tx_int\, \gen_gtwizard_gthe3.gttxreset_int\ => \^gen_gtwizard_gthe3.gttxreset_int\, \gen_gtwizard_gthe3.txuserrdy_int\ => \^gen_gtwizard_gthe3.txuserrdy_int\, gttxreset_out_reg => gttxreset_out_i_3_n_0, gtwiz_reset_tx_any_sync => gtwiz_reset_tx_any_sync, gtwiz_reset_tx_datapath_in(0) => gtwiz_reset_tx_datapath_in(0), gtwiz_reset_userclk_tx_active_sync => gtwiz_reset_userclk_tx_active_sync, plllock_tx_sync => plllock_tx_sync, rst_in_out_reg_0 => gtwiz_reset_tx_pll_and_datapath_int_reg_n_0, txuserrdy_out_reg => txuserrdy_out_i_3_n_0 ); reset_synchronizer_gtwiz_reset_tx_datapath_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_synchronizer_18 port map ( drpclk_in(0) => drpclk_in(0), gtwiz_reset_tx_datapath_in(0) => gtwiz_reset_tx_datapath_in(0), in0 => gtwiz_reset_tx_datapath_sync ); reset_synchronizer_gtwiz_reset_tx_pll_and_datapath_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_synchronizer_19 port map ( drpclk_in(0) => drpclk_in(0), in0 => gtwiz_reset_tx_pll_and_datapath_sync, rst_in_meta_reg_0 => gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 ); reset_synchronizer_rx_done_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_inv_synchronizer port map ( gtwiz_reset_rx_done_out(0) => gtwiz_reset_rx_done_out(0), rst_in_sync2_reg_0 => gtwiz_reset_rx_done_int_reg_n_0, rxusrclk_in(0) => rxusrclk_in(0) ); reset_synchronizer_tx_done_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_inv_synchronizer_20 port map ( gtwiz_reset_tx_done_out(0) => gtwiz_reset_tx_done_out(0), rst_in_sync2_reg_0 => gtwiz_reset_tx_done_int_reg_n_0, rxusrclk_in(0) => rxusrclk_in(0) ); reset_synchronizer_txprogdivreset_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_reset_synchronizer_21 port map ( drpclk_in(0) => drpclk_in(0), \gen_gtwizard_gthe3.txprogdivreset_int\ => \gen_gtwizard_gthe3.txprogdivreset_int\, rst_in0 => rst_in0 ); rxprogdivreset_out_reg: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => drpclk_in(0), CE => '1', D => reset_synchronizer_gtwiz_reset_rx_any_inst_n_2, Q => \^gen_gtwizard_gthe3.rxprogdivreset_int\, R => '0' ); rxuserrdy_out_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => bit_synchronizer_gtwiz_reset_userclk_rx_active_inst_n_1, Q => \^gen_gtwizard_gthe3.rxuserrdy_int\, R => '0' ); sm_reset_all_timer_clr_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"EFFA200A" ) port map ( I0 => sm_reset_all_timer_clr_i_2_n_0, I1 => sm_reset_all(1), I2 => sm_reset_all(2), I3 => sm_reset_all(0), I4 => sm_reset_all_timer_clr_reg_n_0, O => sm_reset_all_timer_clr_i_1_n_0 ); sm_reset_all_timer_clr_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"0000B0003333BB33" ) port map ( I0 => gtwiz_reset_rx_done_int_reg_n_0, I1 => sm_reset_all(2), I2 => gtwiz_reset_tx_done_int_reg_n_0, I3 => sm_reset_all_timer_sat, I4 => sm_reset_all_timer_clr_reg_n_0, I5 => sm_reset_all(1), O => sm_reset_all_timer_clr_i_2_n_0 ); sm_reset_all_timer_clr_reg: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => drpclk_in(0), CE => '1', D => sm_reset_all_timer_clr_i_1_n_0, Q => sm_reset_all_timer_clr_reg_n_0, S => gtwiz_reset_all_sync ); sm_reset_all_timer_ctr0: unisim.vcomponents.LUT3 generic map( INIT => X"7F" ) port map ( I0 => sm_reset_all_timer_ctr(2), I1 => sm_reset_all_timer_ctr(0), I2 => sm_reset_all_timer_ctr(1), O => sm_reset_all_timer_ctr0_n_0 ); \sm_reset_all_timer_ctr[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => sm_reset_all_timer_ctr(0), O => \sm_reset_all_timer_ctr[0]_i_1_n_0\ ); \sm_reset_all_timer_ctr[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => sm_reset_all_timer_ctr(0), I1 => sm_reset_all_timer_ctr(1), O => \sm_reset_all_timer_ctr[1]_i_1_n_0\ ); \sm_reset_all_timer_ctr[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => sm_reset_all_timer_ctr(0), I1 => sm_reset_all_timer_ctr(1), I2 => sm_reset_all_timer_ctr(2), O => \sm_reset_all_timer_ctr[2]_i_1_n_0\ ); \sm_reset_all_timer_ctr_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => sm_reset_all_timer_ctr0_n_0, D => \sm_reset_all_timer_ctr[0]_i_1_n_0\, Q => sm_reset_all_timer_ctr(0), R => sm_reset_all_timer_clr_reg_n_0 ); \sm_reset_all_timer_ctr_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => sm_reset_all_timer_ctr0_n_0, D => \sm_reset_all_timer_ctr[1]_i_1_n_0\, Q => sm_reset_all_timer_ctr(1), R => sm_reset_all_timer_clr_reg_n_0 ); \sm_reset_all_timer_ctr_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => sm_reset_all_timer_ctr0_n_0, D => \sm_reset_all_timer_ctr[2]_i_1_n_0\, Q => sm_reset_all_timer_ctr(2), R => sm_reset_all_timer_clr_reg_n_0 ); sm_reset_all_timer_sat_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"0000FF80" ) port map ( I0 => sm_reset_all_timer_ctr(2), I1 => sm_reset_all_timer_ctr(0), I2 => sm_reset_all_timer_ctr(1), I3 => sm_reset_all_timer_sat, I4 => sm_reset_all_timer_clr_reg_n_0, O => sm_reset_all_timer_sat_i_1_n_0 ); sm_reset_all_timer_sat_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => sm_reset_all_timer_sat_i_1_n_0, Q => sm_reset_all_timer_sat, R => '0' ); sm_reset_rx_cdr_to_clr_i_3: unisim.vcomponents.LUT3 generic map( INIT => X"40" ) port map ( I0 => sm_reset_rx_timer_clr_reg_n_0, I1 => sm_reset_rx_timer_sat, I2 => sm_reset_rx(1), O => sm_reset_rx_cdr_to_clr_i_3_n_0 ); sm_reset_rx_cdr_to_clr_reg: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => drpclk_in(0), CE => '1', D => bit_synchronizer_rxcdrlock_inst_n_0, Q => sm_reset_rx_cdr_to_clr, S => gtwiz_reset_rx_any_sync ); \sm_reset_rx_cdr_to_ctr[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFFFFFE" ) port map ( I0 => sm_reset_rx_cdr_to_ctr_reg(0), I1 => sm_reset_rx_cdr_to_ctr_reg(1), I2 => \sm_reset_rx_cdr_to_ctr[0]_i_3_n_0\, I3 => \sm_reset_rx_cdr_to_ctr[0]_i_4_n_0\, I4 => \sm_reset_rx_cdr_to_ctr[0]_i_5_n_0\, I5 => \sm_reset_rx_cdr_to_ctr[0]_i_6_n_0\, O => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\ ); \sm_reset_rx_cdr_to_ctr[0]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFDFFFFFFFFF" ) port map ( I0 => sm_reset_rx_cdr_to_ctr_reg(18), I1 => sm_reset_rx_cdr_to_ctr_reg(19), I2 => sm_reset_rx_cdr_to_ctr_reg(17), I3 => sm_reset_rx_cdr_to_ctr_reg(16), I4 => sm_reset_rx_cdr_to_ctr_reg(14), I5 => sm_reset_rx_cdr_to_ctr_reg(15), O => \sm_reset_rx_cdr_to_ctr[0]_i_3_n_0\ ); \sm_reset_rx_cdr_to_ctr[0]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFEFFFFFFFF" ) port map ( I0 => sm_reset_rx_cdr_to_ctr_reg(24), I1 => sm_reset_rx_cdr_to_ctr_reg(25), I2 => sm_reset_rx_cdr_to_ctr_reg(22), I3 => sm_reset_rx_cdr_to_ctr_reg(23), I4 => sm_reset_rx_cdr_to_ctr_reg(21), I5 => sm_reset_rx_cdr_to_ctr_reg(20), O => \sm_reset_rx_cdr_to_ctr[0]_i_4_n_0\ ); \sm_reset_rx_cdr_to_ctr[0]_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFDFFFFFFFFF" ) port map ( I0 => sm_reset_rx_cdr_to_ctr_reg(12), I1 => sm_reset_rx_cdr_to_ctr_reg(13), I2 => sm_reset_rx_cdr_to_ctr_reg(10), I3 => sm_reset_rx_cdr_to_ctr_reg(11), I4 => sm_reset_rx_cdr_to_ctr_reg(9), I5 => sm_reset_rx_cdr_to_ctr_reg(8), O => \sm_reset_rx_cdr_to_ctr[0]_i_5_n_0\ ); \sm_reset_rx_cdr_to_ctr[0]_i_6\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFFFFFD" ) port map ( I0 => sm_reset_rx_cdr_to_ctr_reg(6), I1 => sm_reset_rx_cdr_to_ctr_reg(7), I2 => sm_reset_rx_cdr_to_ctr_reg(4), I3 => sm_reset_rx_cdr_to_ctr_reg(5), I4 => sm_reset_rx_cdr_to_ctr_reg(3), I5 => sm_reset_rx_cdr_to_ctr_reg(2), O => \sm_reset_rx_cdr_to_ctr[0]_i_6_n_0\ ); \sm_reset_rx_cdr_to_ctr[0]_i_7\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => sm_reset_rx_cdr_to_ctr_reg(0), O => \sm_reset_rx_cdr_to_ctr[0]_i_7_n_0\ ); \sm_reset_rx_cdr_to_ctr_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\, D => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_15\, Q => sm_reset_rx_cdr_to_ctr_reg(0), R => sm_reset_rx_cdr_to_clr ); \sm_reset_rx_cdr_to_ctr_reg[0]_i_2\: unisim.vcomponents.CARRY8 port map ( CI => '0', CI_TOP => '0', CO(7) => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_0\, CO(6) => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_1\, CO(5) => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_2\, CO(4) => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_3\, CO(3) => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_4\, CO(2) => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_5\, CO(1) => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_6\, CO(0) => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_7\, DI(7 downto 0) => B"00000001", O(7) => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_8\, O(6) => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_9\, O(5) => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_10\, O(4) => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_11\, O(3) => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_12\, O(2) => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_13\, O(1) => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_14\, O(0) => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_15\, S(7 downto 1) => sm_reset_rx_cdr_to_ctr_reg(7 downto 1), S(0) => \sm_reset_rx_cdr_to_ctr[0]_i_7_n_0\ ); \sm_reset_rx_cdr_to_ctr_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\, D => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_13\, Q => sm_reset_rx_cdr_to_ctr_reg(10), R => sm_reset_rx_cdr_to_clr ); \sm_reset_rx_cdr_to_ctr_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\, D => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_12\, Q => sm_reset_rx_cdr_to_ctr_reg(11), R => sm_reset_rx_cdr_to_clr ); \sm_reset_rx_cdr_to_ctr_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\, D => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_11\, Q => sm_reset_rx_cdr_to_ctr_reg(12), R => sm_reset_rx_cdr_to_clr ); \sm_reset_rx_cdr_to_ctr_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\, D => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_10\, Q => sm_reset_rx_cdr_to_ctr_reg(13), R => sm_reset_rx_cdr_to_clr ); \sm_reset_rx_cdr_to_ctr_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\, D => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_9\, Q => sm_reset_rx_cdr_to_ctr_reg(14), R => sm_reset_rx_cdr_to_clr ); \sm_reset_rx_cdr_to_ctr_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\, D => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_8\, Q => sm_reset_rx_cdr_to_ctr_reg(15), R => sm_reset_rx_cdr_to_clr ); \sm_reset_rx_cdr_to_ctr_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\, D => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_15\, Q => sm_reset_rx_cdr_to_ctr_reg(16), R => sm_reset_rx_cdr_to_clr ); \sm_reset_rx_cdr_to_ctr_reg[16]_i_1\: unisim.vcomponents.CARRY8 port map ( CI => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_0\, CI_TOP => '0', CO(7) => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_0\, CO(6) => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_1\, CO(5) => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_2\, CO(4) => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_3\, CO(3) => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_4\, CO(2) => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_5\, CO(1) => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_6\, CO(0) => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_7\, DI(7 downto 0) => B"00000000", O(7) => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_8\, O(6) => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_9\, O(5) => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_10\, O(4) => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_11\, O(3) => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_12\, O(2) => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_13\, O(1) => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_14\, O(0) => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_15\, S(7 downto 0) => sm_reset_rx_cdr_to_ctr_reg(23 downto 16) ); \sm_reset_rx_cdr_to_ctr_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\, D => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_14\, Q => sm_reset_rx_cdr_to_ctr_reg(17), R => sm_reset_rx_cdr_to_clr ); \sm_reset_rx_cdr_to_ctr_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\, D => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_13\, Q => sm_reset_rx_cdr_to_ctr_reg(18), R => sm_reset_rx_cdr_to_clr ); \sm_reset_rx_cdr_to_ctr_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\, D => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_12\, Q => sm_reset_rx_cdr_to_ctr_reg(19), R => sm_reset_rx_cdr_to_clr ); \sm_reset_rx_cdr_to_ctr_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\, D => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_14\, Q => sm_reset_rx_cdr_to_ctr_reg(1), R => sm_reset_rx_cdr_to_clr ); \sm_reset_rx_cdr_to_ctr_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\, D => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_11\, Q => sm_reset_rx_cdr_to_ctr_reg(20), R => sm_reset_rx_cdr_to_clr ); \sm_reset_rx_cdr_to_ctr_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\, D => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_10\, Q => sm_reset_rx_cdr_to_ctr_reg(21), R => sm_reset_rx_cdr_to_clr ); \sm_reset_rx_cdr_to_ctr_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\, D => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_9\, Q => sm_reset_rx_cdr_to_ctr_reg(22), R => sm_reset_rx_cdr_to_clr ); \sm_reset_rx_cdr_to_ctr_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\, D => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_8\, Q => sm_reset_rx_cdr_to_ctr_reg(23), R => sm_reset_rx_cdr_to_clr ); \sm_reset_rx_cdr_to_ctr_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\, D => \sm_reset_rx_cdr_to_ctr_reg[24]_i_1_n_15\, Q => sm_reset_rx_cdr_to_ctr_reg(24), R => sm_reset_rx_cdr_to_clr ); \sm_reset_rx_cdr_to_ctr_reg[24]_i_1\: unisim.vcomponents.CARRY8 port map ( CI => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_0\, CI_TOP => '0', CO(7 downto 1) => \NLW_sm_reset_rx_cdr_to_ctr_reg[24]_i_1_CO_UNCONNECTED\(7 downto 1), CO(0) => \sm_reset_rx_cdr_to_ctr_reg[24]_i_1_n_7\, DI(7 downto 0) => B"00000000", O(7 downto 2) => \NLW_sm_reset_rx_cdr_to_ctr_reg[24]_i_1_O_UNCONNECTED\(7 downto 2), O(1) => \sm_reset_rx_cdr_to_ctr_reg[24]_i_1_n_14\, O(0) => \sm_reset_rx_cdr_to_ctr_reg[24]_i_1_n_15\, S(7 downto 2) => B"000000", S(1 downto 0) => sm_reset_rx_cdr_to_ctr_reg(25 downto 24) ); \sm_reset_rx_cdr_to_ctr_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\, D => \sm_reset_rx_cdr_to_ctr_reg[24]_i_1_n_14\, Q => sm_reset_rx_cdr_to_ctr_reg(25), R => sm_reset_rx_cdr_to_clr ); \sm_reset_rx_cdr_to_ctr_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\, D => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_13\, Q => sm_reset_rx_cdr_to_ctr_reg(2), R => sm_reset_rx_cdr_to_clr ); \sm_reset_rx_cdr_to_ctr_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\, D => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_12\, Q => sm_reset_rx_cdr_to_ctr_reg(3), R => sm_reset_rx_cdr_to_clr ); \sm_reset_rx_cdr_to_ctr_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\, D => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_11\, Q => sm_reset_rx_cdr_to_ctr_reg(4), R => sm_reset_rx_cdr_to_clr ); \sm_reset_rx_cdr_to_ctr_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\, D => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_10\, Q => sm_reset_rx_cdr_to_ctr_reg(5), R => sm_reset_rx_cdr_to_clr ); \sm_reset_rx_cdr_to_ctr_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\, D => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_9\, Q => sm_reset_rx_cdr_to_ctr_reg(6), R => sm_reset_rx_cdr_to_clr ); \sm_reset_rx_cdr_to_ctr_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\, D => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_8\, Q => sm_reset_rx_cdr_to_ctr_reg(7), R => sm_reset_rx_cdr_to_clr ); \sm_reset_rx_cdr_to_ctr_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\, D => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_15\, Q => sm_reset_rx_cdr_to_ctr_reg(8), R => sm_reset_rx_cdr_to_clr ); \sm_reset_rx_cdr_to_ctr_reg[8]_i_1\: unisim.vcomponents.CARRY8 port map ( CI => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_0\, CI_TOP => '0', CO(7) => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_0\, CO(6) => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_1\, CO(5) => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_2\, CO(4) => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_3\, CO(3) => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_4\, CO(2) => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_5\, CO(1) => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_6\, CO(0) => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_7\, DI(7 downto 0) => B"00000000", O(7) => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_8\, O(6) => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_9\, O(5) => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_10\, O(4) => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_11\, O(3) => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_12\, O(2) => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_13\, O(1) => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_14\, O(0) => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_15\, S(7 downto 0) => sm_reset_rx_cdr_to_ctr_reg(15 downto 8) ); \sm_reset_rx_cdr_to_ctr_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\, D => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_14\, Q => sm_reset_rx_cdr_to_ctr_reg(9), R => sm_reset_rx_cdr_to_clr ); sm_reset_rx_cdr_to_sat_i_1: unisim.vcomponents.LUT3 generic map( INIT => X"0E" ) port map ( I0 => sm_reset_rx_cdr_to_sat, I1 => sm_reset_rx_cdr_to_sat_i_2_n_0, I2 => sm_reset_rx_cdr_to_clr, O => sm_reset_rx_cdr_to_sat_i_1_n_0 ); sm_reset_rx_cdr_to_sat_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000008000" ) port map ( I0 => sm_reset_rx_cdr_to_sat_i_3_n_0, I1 => sm_reset_rx_cdr_to_sat_i_4_n_0, I2 => sm_reset_rx_cdr_to_sat_i_5_n_0, I3 => sm_reset_rx_cdr_to_sat_i_6_n_0, I4 => sm_reset_rx_cdr_to_ctr_reg(0), I5 => sm_reset_rx_cdr_to_ctr_reg(1), O => sm_reset_rx_cdr_to_sat_i_2_n_0 ); sm_reset_rx_cdr_to_sat_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"0000000100000000" ) port map ( I0 => sm_reset_rx_cdr_to_ctr_reg(4), I1 => sm_reset_rx_cdr_to_ctr_reg(5), I2 => sm_reset_rx_cdr_to_ctr_reg(2), I3 => sm_reset_rx_cdr_to_ctr_reg(3), I4 => sm_reset_rx_cdr_to_ctr_reg(7), I5 => sm_reset_rx_cdr_to_ctr_reg(6), O => sm_reset_rx_cdr_to_sat_i_3_n_0 ); sm_reset_rx_cdr_to_sat_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000010" ) port map ( I0 => sm_reset_rx_cdr_to_ctr_reg(22), I1 => sm_reset_rx_cdr_to_ctr_reg(23), I2 => sm_reset_rx_cdr_to_ctr_reg(20), I3 => sm_reset_rx_cdr_to_ctr_reg(21), I4 => sm_reset_rx_cdr_to_ctr_reg(25), I5 => sm_reset_rx_cdr_to_ctr_reg(24), O => sm_reset_rx_cdr_to_sat_i_4_n_0 ); sm_reset_rx_cdr_to_sat_i_5: unisim.vcomponents.LUT6 generic map( INIT => X"0000002000000000" ) port map ( I0 => sm_reset_rx_cdr_to_ctr_reg(17), I1 => sm_reset_rx_cdr_to_ctr_reg(16), I2 => sm_reset_rx_cdr_to_ctr_reg(15), I3 => sm_reset_rx_cdr_to_ctr_reg(14), I4 => sm_reset_rx_cdr_to_ctr_reg(19), I5 => sm_reset_rx_cdr_to_ctr_reg(18), O => sm_reset_rx_cdr_to_sat_i_5_n_0 ); sm_reset_rx_cdr_to_sat_i_6: unisim.vcomponents.LUT6 generic map( INIT => X"0000002000000000" ) port map ( I0 => sm_reset_rx_cdr_to_ctr_reg(10), I1 => sm_reset_rx_cdr_to_ctr_reg(11), I2 => sm_reset_rx_cdr_to_ctr_reg(8), I3 => sm_reset_rx_cdr_to_ctr_reg(9), I4 => sm_reset_rx_cdr_to_ctr_reg(13), I5 => sm_reset_rx_cdr_to_ctr_reg(12), O => sm_reset_rx_cdr_to_sat_i_6_n_0 ); sm_reset_rx_cdr_to_sat_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => sm_reset_rx_cdr_to_sat_i_1_n_0, Q => sm_reset_rx_cdr_to_sat, R => '0' ); sm_reset_rx_pll_timer_clr_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"FFF3000B" ) port map ( I0 => sm_reset_rx_pll_timer_sat, I1 => sm_reset_rx(0), I2 => sm_reset_rx(1), I3 => sm_reset_rx(2), I4 => sm_reset_rx_pll_timer_clr_reg_n_0, O => sm_reset_rx_pll_timer_clr_i_1_n_0 ); sm_reset_rx_pll_timer_clr_reg: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => drpclk_in(0), CE => '1', D => sm_reset_rx_pll_timer_clr_i_1_n_0, Q => sm_reset_rx_pll_timer_clr_reg_n_0, S => gtwiz_reset_rx_any_sync ); \sm_reset_rx_pll_timer_ctr[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => sm_reset_rx_pll_timer_ctr_reg(0), O => \p_0_in__1\(0) ); \sm_reset_rx_pll_timer_ctr[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => sm_reset_rx_pll_timer_ctr_reg(1), I1 => sm_reset_rx_pll_timer_ctr_reg(0), O => \p_0_in__1\(1) ); \sm_reset_rx_pll_timer_ctr[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => sm_reset_rx_pll_timer_ctr_reg(1), I1 => sm_reset_rx_pll_timer_ctr_reg(0), I2 => sm_reset_rx_pll_timer_ctr_reg(2), O => \p_0_in__1\(2) ); \sm_reset_rx_pll_timer_ctr[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => sm_reset_rx_pll_timer_ctr_reg(2), I1 => sm_reset_rx_pll_timer_ctr_reg(0), I2 => sm_reset_rx_pll_timer_ctr_reg(1), I3 => sm_reset_rx_pll_timer_ctr_reg(3), O => \p_0_in__1\(3) ); \sm_reset_rx_pll_timer_ctr[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => sm_reset_rx_pll_timer_ctr_reg(3), I1 => sm_reset_rx_pll_timer_ctr_reg(1), I2 => sm_reset_rx_pll_timer_ctr_reg(0), I3 => sm_reset_rx_pll_timer_ctr_reg(2), I4 => sm_reset_rx_pll_timer_ctr_reg(4), O => \p_0_in__1\(4) ); \sm_reset_rx_pll_timer_ctr[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => sm_reset_rx_pll_timer_ctr_reg(4), I1 => sm_reset_rx_pll_timer_ctr_reg(2), I2 => sm_reset_rx_pll_timer_ctr_reg(0), I3 => sm_reset_rx_pll_timer_ctr_reg(1), I4 => sm_reset_rx_pll_timer_ctr_reg(3), I5 => sm_reset_rx_pll_timer_ctr_reg(5), O => \p_0_in__1\(5) ); \sm_reset_rx_pll_timer_ctr[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \sm_reset_rx_pll_timer_ctr[9]_i_4_n_0\, I1 => sm_reset_rx_pll_timer_ctr_reg(6), O => \p_0_in__1\(6) ); \sm_reset_rx_pll_timer_ctr[7]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"D2" ) port map ( I0 => sm_reset_rx_pll_timer_ctr_reg(6), I1 => \sm_reset_rx_pll_timer_ctr[9]_i_4_n_0\, I2 => sm_reset_rx_pll_timer_ctr_reg(7), O => \p_0_in__1\(7) ); \sm_reset_rx_pll_timer_ctr[8]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"DF20" ) port map ( I0 => sm_reset_rx_pll_timer_ctr_reg(7), I1 => \sm_reset_rx_pll_timer_ctr[9]_i_4_n_0\, I2 => sm_reset_rx_pll_timer_ctr_reg(6), I3 => sm_reset_rx_pll_timer_ctr_reg(8), O => \p_0_in__1\(8) ); \sm_reset_rx_pll_timer_ctr[9]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFBF" ) port map ( I0 => sm_reset_rx_pll_timer_ctr_reg(3), I1 => sm_reset_rx_pll_timer_ctr_reg(2), I2 => sm_reset_rx_pll_timer_ctr_reg(1), I3 => sm_reset_rx_pll_timer_ctr_reg(0), I4 => \sm_reset_rx_pll_timer_ctr[9]_i_3_n_0\, O => \sm_reset_rx_pll_timer_ctr[9]_i_1_n_0\ ); \sm_reset_rx_pll_timer_ctr[9]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"F7FF0800" ) port map ( I0 => sm_reset_rx_pll_timer_ctr_reg(8), I1 => sm_reset_rx_pll_timer_ctr_reg(6), I2 => \sm_reset_rx_pll_timer_ctr[9]_i_4_n_0\, I3 => sm_reset_rx_pll_timer_ctr_reg(7), I4 => sm_reset_rx_pll_timer_ctr_reg(9), O => \p_0_in__1\(9) ); \sm_reset_rx_pll_timer_ctr[9]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFEFFFFFFFFF" ) port map ( I0 => sm_reset_rx_pll_timer_ctr_reg(8), I1 => sm_reset_rx_pll_timer_ctr_reg(9), I2 => sm_reset_rx_pll_timer_ctr_reg(6), I3 => sm_reset_rx_pll_timer_ctr_reg(7), I4 => sm_reset_rx_pll_timer_ctr_reg(4), I5 => sm_reset_rx_pll_timer_ctr_reg(5), O => \sm_reset_rx_pll_timer_ctr[9]_i_3_n_0\ ); \sm_reset_rx_pll_timer_ctr[9]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFFFFFFFFFF" ) port map ( I0 => sm_reset_rx_pll_timer_ctr_reg(4), I1 => sm_reset_rx_pll_timer_ctr_reg(2), I2 => sm_reset_rx_pll_timer_ctr_reg(0), I3 => sm_reset_rx_pll_timer_ctr_reg(1), I4 => sm_reset_rx_pll_timer_ctr_reg(3), I5 => sm_reset_rx_pll_timer_ctr_reg(5), O => \sm_reset_rx_pll_timer_ctr[9]_i_4_n_0\ ); \sm_reset_rx_pll_timer_ctr_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => \sm_reset_rx_pll_timer_ctr[9]_i_1_n_0\, D => \p_0_in__1\(0), Q => sm_reset_rx_pll_timer_ctr_reg(0), R => sm_reset_rx_pll_timer_clr_reg_n_0 ); \sm_reset_rx_pll_timer_ctr_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => \sm_reset_rx_pll_timer_ctr[9]_i_1_n_0\, D => \p_0_in__1\(1), Q => sm_reset_rx_pll_timer_ctr_reg(1), R => sm_reset_rx_pll_timer_clr_reg_n_0 ); \sm_reset_rx_pll_timer_ctr_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => \sm_reset_rx_pll_timer_ctr[9]_i_1_n_0\, D => \p_0_in__1\(2), Q => sm_reset_rx_pll_timer_ctr_reg(2), R => sm_reset_rx_pll_timer_clr_reg_n_0 ); \sm_reset_rx_pll_timer_ctr_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => \sm_reset_rx_pll_timer_ctr[9]_i_1_n_0\, D => \p_0_in__1\(3), Q => sm_reset_rx_pll_timer_ctr_reg(3), R => sm_reset_rx_pll_timer_clr_reg_n_0 ); \sm_reset_rx_pll_timer_ctr_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => \sm_reset_rx_pll_timer_ctr[9]_i_1_n_0\, D => \p_0_in__1\(4), Q => sm_reset_rx_pll_timer_ctr_reg(4), R => sm_reset_rx_pll_timer_clr_reg_n_0 ); \sm_reset_rx_pll_timer_ctr_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => \sm_reset_rx_pll_timer_ctr[9]_i_1_n_0\, D => \p_0_in__1\(5), Q => sm_reset_rx_pll_timer_ctr_reg(5), R => sm_reset_rx_pll_timer_clr_reg_n_0 ); \sm_reset_rx_pll_timer_ctr_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => \sm_reset_rx_pll_timer_ctr[9]_i_1_n_0\, D => \p_0_in__1\(6), Q => sm_reset_rx_pll_timer_ctr_reg(6), R => sm_reset_rx_pll_timer_clr_reg_n_0 ); \sm_reset_rx_pll_timer_ctr_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => \sm_reset_rx_pll_timer_ctr[9]_i_1_n_0\, D => \p_0_in__1\(7), Q => sm_reset_rx_pll_timer_ctr_reg(7), R => sm_reset_rx_pll_timer_clr_reg_n_0 ); \sm_reset_rx_pll_timer_ctr_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => \sm_reset_rx_pll_timer_ctr[9]_i_1_n_0\, D => \p_0_in__1\(8), Q => sm_reset_rx_pll_timer_ctr_reg(8), R => sm_reset_rx_pll_timer_clr_reg_n_0 ); \sm_reset_rx_pll_timer_ctr_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => \sm_reset_rx_pll_timer_ctr[9]_i_1_n_0\, D => \p_0_in__1\(9), Q => sm_reset_rx_pll_timer_ctr_reg(9), R => sm_reset_rx_pll_timer_clr_reg_n_0 ); sm_reset_rx_pll_timer_sat_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"00EA" ) port map ( I0 => sm_reset_rx_pll_timer_sat, I1 => sm_reset_rx_pll_timer_sat_i_2_n_0, I2 => sm_reset_rx_pll_timer_sat_i_3_n_0, I3 => sm_reset_rx_pll_timer_clr_reg_n_0, O => sm_reset_rx_pll_timer_sat_i_1_n_0 ); sm_reset_rx_pll_timer_sat_i_2: unisim.vcomponents.LUT4 generic map( INIT => X"0040" ) port map ( I0 => sm_reset_rx_pll_timer_ctr_reg(3), I1 => sm_reset_rx_pll_timer_ctr_reg(2), I2 => sm_reset_rx_pll_timer_ctr_reg(1), I3 => sm_reset_rx_pll_timer_ctr_reg(0), O => sm_reset_rx_pll_timer_sat_i_2_n_0 ); sm_reset_rx_pll_timer_sat_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000020" ) port map ( I0 => sm_reset_rx_pll_timer_ctr_reg(6), I1 => sm_reset_rx_pll_timer_ctr_reg(7), I2 => sm_reset_rx_pll_timer_ctr_reg(5), I3 => sm_reset_rx_pll_timer_ctr_reg(4), I4 => sm_reset_rx_pll_timer_ctr_reg(9), I5 => sm_reset_rx_pll_timer_ctr_reg(8), O => sm_reset_rx_pll_timer_sat_i_3_n_0 ); sm_reset_rx_pll_timer_sat_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => sm_reset_rx_pll_timer_sat_i_1_n_0, Q => sm_reset_rx_pll_timer_sat, R => '0' ); sm_reset_rx_timer_clr_reg: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => drpclk_in(0), CE => '1', D => bit_synchronizer_gtwiz_reset_userclk_rx_active_inst_n_0, Q => sm_reset_rx_timer_clr_reg_n_0, S => gtwiz_reset_rx_any_sync ); sm_reset_rx_timer_ctr0: unisim.vcomponents.LUT3 generic map( INIT => X"7F" ) port map ( I0 => sm_reset_rx_timer_ctr(2), I1 => sm_reset_rx_timer_ctr(0), I2 => sm_reset_rx_timer_ctr(1), O => sm_reset_rx_timer_ctr0_n_0 ); \sm_reset_rx_timer_ctr[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => sm_reset_rx_timer_ctr(0), O => \sm_reset_rx_timer_ctr[0]_i_1_n_0\ ); \sm_reset_rx_timer_ctr[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => sm_reset_rx_timer_ctr(0), I1 => sm_reset_rx_timer_ctr(1), O => \sm_reset_rx_timer_ctr[1]_i_1_n_0\ ); \sm_reset_rx_timer_ctr[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => sm_reset_rx_timer_ctr(0), I1 => sm_reset_rx_timer_ctr(1), I2 => sm_reset_rx_timer_ctr(2), O => \sm_reset_rx_timer_ctr[2]_i_1_n_0\ ); \sm_reset_rx_timer_ctr_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => sm_reset_rx_timer_ctr0_n_0, D => \sm_reset_rx_timer_ctr[0]_i_1_n_0\, Q => sm_reset_rx_timer_ctr(0), R => sm_reset_rx_timer_clr_reg_n_0 ); \sm_reset_rx_timer_ctr_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => sm_reset_rx_timer_ctr0_n_0, D => \sm_reset_rx_timer_ctr[1]_i_1_n_0\, Q => sm_reset_rx_timer_ctr(1), R => sm_reset_rx_timer_clr_reg_n_0 ); \sm_reset_rx_timer_ctr_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => sm_reset_rx_timer_ctr0_n_0, D => \sm_reset_rx_timer_ctr[2]_i_1_n_0\, Q => sm_reset_rx_timer_ctr(2), R => sm_reset_rx_timer_clr_reg_n_0 ); sm_reset_rx_timer_sat_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"0000FF80" ) port map ( I0 => sm_reset_rx_timer_ctr(2), I1 => sm_reset_rx_timer_ctr(0), I2 => sm_reset_rx_timer_ctr(1), I3 => sm_reset_rx_timer_sat, I4 => sm_reset_rx_timer_clr_reg_n_0, O => sm_reset_rx_timer_sat_i_1_n_0 ); sm_reset_rx_timer_sat_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => sm_reset_rx_timer_sat_i_1_n_0, Q => sm_reset_rx_timer_sat, R => '0' ); sm_reset_tx_pll_timer_clr_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"EFEF1101" ) port map ( I0 => sm_reset_tx(1), I1 => sm_reset_tx(2), I2 => sm_reset_tx(0), I3 => sm_reset_tx_pll_timer_sat, I4 => sm_reset_tx_pll_timer_clr_reg_n_0, O => sm_reset_tx_pll_timer_clr_i_1_n_0 ); sm_reset_tx_pll_timer_clr_reg: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => drpclk_in(0), CE => '1', D => sm_reset_tx_pll_timer_clr_i_1_n_0, Q => sm_reset_tx_pll_timer_clr_reg_n_0, S => gtwiz_reset_tx_any_sync ); \sm_reset_tx_pll_timer_ctr[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => sm_reset_tx_pll_timer_ctr_reg(0), O => \p_0_in__0\(0) ); \sm_reset_tx_pll_timer_ctr[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => sm_reset_tx_pll_timer_ctr_reg(1), I1 => sm_reset_tx_pll_timer_ctr_reg(0), O => \p_0_in__0\(1) ); \sm_reset_tx_pll_timer_ctr[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => sm_reset_tx_pll_timer_ctr_reg(1), I1 => sm_reset_tx_pll_timer_ctr_reg(0), I2 => sm_reset_tx_pll_timer_ctr_reg(2), O => \p_0_in__0\(2) ); \sm_reset_tx_pll_timer_ctr[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => sm_reset_tx_pll_timer_ctr_reg(2), I1 => sm_reset_tx_pll_timer_ctr_reg(0), I2 => sm_reset_tx_pll_timer_ctr_reg(1), I3 => sm_reset_tx_pll_timer_ctr_reg(3), O => \p_0_in__0\(3) ); \sm_reset_tx_pll_timer_ctr[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => sm_reset_tx_pll_timer_ctr_reg(3), I1 => sm_reset_tx_pll_timer_ctr_reg(1), I2 => sm_reset_tx_pll_timer_ctr_reg(0), I3 => sm_reset_tx_pll_timer_ctr_reg(2), I4 => sm_reset_tx_pll_timer_ctr_reg(4), O => \p_0_in__0\(4) ); \sm_reset_tx_pll_timer_ctr[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => sm_reset_tx_pll_timer_ctr_reg(4), I1 => sm_reset_tx_pll_timer_ctr_reg(2), I2 => sm_reset_tx_pll_timer_ctr_reg(0), I3 => sm_reset_tx_pll_timer_ctr_reg(1), I4 => sm_reset_tx_pll_timer_ctr_reg(3), I5 => sm_reset_tx_pll_timer_ctr_reg(5), O => \p_0_in__0\(5) ); \sm_reset_tx_pll_timer_ctr[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \sm_reset_tx_pll_timer_ctr[9]_i_4_n_0\, I1 => sm_reset_tx_pll_timer_ctr_reg(6), O => \p_0_in__0\(6) ); \sm_reset_tx_pll_timer_ctr[7]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"D2" ) port map ( I0 => sm_reset_tx_pll_timer_ctr_reg(6), I1 => \sm_reset_tx_pll_timer_ctr[9]_i_4_n_0\, I2 => sm_reset_tx_pll_timer_ctr_reg(7), O => \p_0_in__0\(7) ); \sm_reset_tx_pll_timer_ctr[8]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"DF20" ) port map ( I0 => sm_reset_tx_pll_timer_ctr_reg(7), I1 => \sm_reset_tx_pll_timer_ctr[9]_i_4_n_0\, I2 => sm_reset_tx_pll_timer_ctr_reg(6), I3 => sm_reset_tx_pll_timer_ctr_reg(8), O => \p_0_in__0\(8) ); \sm_reset_tx_pll_timer_ctr[9]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFBF" ) port map ( I0 => sm_reset_tx_pll_timer_ctr_reg(3), I1 => sm_reset_tx_pll_timer_ctr_reg(2), I2 => sm_reset_tx_pll_timer_ctr_reg(1), I3 => sm_reset_tx_pll_timer_ctr_reg(0), I4 => \sm_reset_tx_pll_timer_ctr[9]_i_3_n_0\, O => sel ); \sm_reset_tx_pll_timer_ctr[9]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"F7FF0800" ) port map ( I0 => sm_reset_tx_pll_timer_ctr_reg(8), I1 => sm_reset_tx_pll_timer_ctr_reg(6), I2 => \sm_reset_tx_pll_timer_ctr[9]_i_4_n_0\, I3 => sm_reset_tx_pll_timer_ctr_reg(7), I4 => sm_reset_tx_pll_timer_ctr_reg(9), O => \p_0_in__0\(9) ); \sm_reset_tx_pll_timer_ctr[9]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFEFFFFFFFFF" ) port map ( I0 => sm_reset_tx_pll_timer_ctr_reg(8), I1 => sm_reset_tx_pll_timer_ctr_reg(9), I2 => sm_reset_tx_pll_timer_ctr_reg(6), I3 => sm_reset_tx_pll_timer_ctr_reg(7), I4 => sm_reset_tx_pll_timer_ctr_reg(4), I5 => sm_reset_tx_pll_timer_ctr_reg(5), O => \sm_reset_tx_pll_timer_ctr[9]_i_3_n_0\ ); \sm_reset_tx_pll_timer_ctr[9]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFFFFFFFFFF" ) port map ( I0 => sm_reset_tx_pll_timer_ctr_reg(4), I1 => sm_reset_tx_pll_timer_ctr_reg(2), I2 => sm_reset_tx_pll_timer_ctr_reg(0), I3 => sm_reset_tx_pll_timer_ctr_reg(1), I4 => sm_reset_tx_pll_timer_ctr_reg(3), I5 => sm_reset_tx_pll_timer_ctr_reg(5), O => \sm_reset_tx_pll_timer_ctr[9]_i_4_n_0\ ); \sm_reset_tx_pll_timer_ctr_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => sel, D => \p_0_in__0\(0), Q => sm_reset_tx_pll_timer_ctr_reg(0), R => sm_reset_tx_pll_timer_clr_reg_n_0 ); \sm_reset_tx_pll_timer_ctr_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => sel, D => \p_0_in__0\(1), Q => sm_reset_tx_pll_timer_ctr_reg(1), R => sm_reset_tx_pll_timer_clr_reg_n_0 ); \sm_reset_tx_pll_timer_ctr_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => sel, D => \p_0_in__0\(2), Q => sm_reset_tx_pll_timer_ctr_reg(2), R => sm_reset_tx_pll_timer_clr_reg_n_0 ); \sm_reset_tx_pll_timer_ctr_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => sel, D => \p_0_in__0\(3), Q => sm_reset_tx_pll_timer_ctr_reg(3), R => sm_reset_tx_pll_timer_clr_reg_n_0 ); \sm_reset_tx_pll_timer_ctr_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => sel, D => \p_0_in__0\(4), Q => sm_reset_tx_pll_timer_ctr_reg(4), R => sm_reset_tx_pll_timer_clr_reg_n_0 ); \sm_reset_tx_pll_timer_ctr_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => sel, D => \p_0_in__0\(5), Q => sm_reset_tx_pll_timer_ctr_reg(5), R => sm_reset_tx_pll_timer_clr_reg_n_0 ); \sm_reset_tx_pll_timer_ctr_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => sel, D => \p_0_in__0\(6), Q => sm_reset_tx_pll_timer_ctr_reg(6), R => sm_reset_tx_pll_timer_clr_reg_n_0 ); \sm_reset_tx_pll_timer_ctr_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => sel, D => \p_0_in__0\(7), Q => sm_reset_tx_pll_timer_ctr_reg(7), R => sm_reset_tx_pll_timer_clr_reg_n_0 ); \sm_reset_tx_pll_timer_ctr_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => sel, D => \p_0_in__0\(8), Q => sm_reset_tx_pll_timer_ctr_reg(8), R => sm_reset_tx_pll_timer_clr_reg_n_0 ); \sm_reset_tx_pll_timer_ctr_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => sel, D => \p_0_in__0\(9), Q => sm_reset_tx_pll_timer_ctr_reg(9), R => sm_reset_tx_pll_timer_clr_reg_n_0 ); sm_reset_tx_pll_timer_sat_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"00EA" ) port map ( I0 => sm_reset_tx_pll_timer_sat, I1 => sm_reset_tx_pll_timer_sat_i_2_n_0, I2 => sm_reset_tx_pll_timer_sat_i_3_n_0, I3 => sm_reset_tx_pll_timer_clr_reg_n_0, O => sm_reset_tx_pll_timer_sat_i_1_n_0 ); sm_reset_tx_pll_timer_sat_i_2: unisim.vcomponents.LUT4 generic map( INIT => X"0040" ) port map ( I0 => sm_reset_tx_pll_timer_ctr_reg(3), I1 => sm_reset_tx_pll_timer_ctr_reg(2), I2 => sm_reset_tx_pll_timer_ctr_reg(1), I3 => sm_reset_tx_pll_timer_ctr_reg(0), O => sm_reset_tx_pll_timer_sat_i_2_n_0 ); sm_reset_tx_pll_timer_sat_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000020" ) port map ( I0 => sm_reset_tx_pll_timer_ctr_reg(6), I1 => sm_reset_tx_pll_timer_ctr_reg(7), I2 => sm_reset_tx_pll_timer_ctr_reg(5), I3 => sm_reset_tx_pll_timer_ctr_reg(4), I4 => sm_reset_tx_pll_timer_ctr_reg(9), I5 => sm_reset_tx_pll_timer_ctr_reg(8), O => sm_reset_tx_pll_timer_sat_i_3_n_0 ); sm_reset_tx_pll_timer_sat_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => sm_reset_tx_pll_timer_sat_i_1_n_0, Q => sm_reset_tx_pll_timer_sat, R => '0' ); sm_reset_tx_timer_clr_reg: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => drpclk_in(0), CE => '1', D => bit_synchronizer_gtwiz_reset_userclk_tx_active_inst_n_1, Q => sm_reset_tx_timer_clr_reg_n_0, S => gtwiz_reset_tx_any_sync ); sm_reset_tx_timer_ctr0: unisim.vcomponents.LUT3 generic map( INIT => X"7F" ) port map ( I0 => sm_reset_tx_timer_ctr(2), I1 => sm_reset_tx_timer_ctr(0), I2 => sm_reset_tx_timer_ctr(1), O => p_0_in ); \sm_reset_tx_timer_ctr[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => sm_reset_tx_timer_ctr(0), O => p_1_in(0) ); \sm_reset_tx_timer_ctr[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => sm_reset_tx_timer_ctr(0), I1 => sm_reset_tx_timer_ctr(1), O => p_1_in(1) ); \sm_reset_tx_timer_ctr[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => sm_reset_tx_timer_ctr(0), I1 => sm_reset_tx_timer_ctr(1), I2 => sm_reset_tx_timer_ctr(2), O => p_1_in(2) ); \sm_reset_tx_timer_ctr_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => p_0_in, D => p_1_in(0), Q => sm_reset_tx_timer_ctr(0), R => sm_reset_tx_timer_clr_reg_n_0 ); \sm_reset_tx_timer_ctr_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => p_0_in, D => p_1_in(1), Q => sm_reset_tx_timer_ctr(1), R => sm_reset_tx_timer_clr_reg_n_0 ); \sm_reset_tx_timer_ctr_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => p_0_in, D => p_1_in(2), Q => sm_reset_tx_timer_ctr(2), R => sm_reset_tx_timer_clr_reg_n_0 ); sm_reset_tx_timer_sat_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"0000FF80" ) port map ( I0 => sm_reset_tx_timer_ctr(2), I1 => sm_reset_tx_timer_ctr(0), I2 => sm_reset_tx_timer_ctr(1), I3 => sm_reset_tx_timer_sat, I4 => sm_reset_tx_timer_clr_reg_n_0, O => sm_reset_tx_timer_sat_i_1_n_0 ); sm_reset_tx_timer_sat_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => sm_reset_tx_timer_sat_i_1_n_0, Q => sm_reset_tx_timer_sat, R => '0' ); txuserrdy_out_i_3: unisim.vcomponents.LUT4 generic map( INIT => X"0400" ) port map ( I0 => sm_reset_tx(1), I1 => sm_reset_tx(2), I2 => sm_reset_tx_timer_clr_reg_n_0, I3 => sm_reset_tx_timer_sat, O => txuserrdy_out_i_3_n_0 ); txuserrdy_out_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => drpclk_in(0), CE => '1', D => reset_synchronizer_gtwiz_reset_tx_any_inst_n_3, Q => \^gen_gtwizard_gthe3.txuserrdy_int\, R => '0' ); end STRUCTURE; `protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2020.2" `protect key_keyowner="Cadence Design Systems.", key_keyname="cds_rsa_key", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=64) `protect key_block WrLPAmevOeee/HiaIGgPKffTsGjPw79Mvhb1LvIE3IQs20r9+LQOoFGpfUylEN1UW2O2frWdS04S 72SDyqvJ5A== `protect key_keyowner="Synopsys", key_keyname="SNPS-VCS-RSA-2", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=128) `protect key_block C57Uh05FvDEJaXQ4H8lC5UbDO/jg7m+45NOtD4cM+eEYb3jcEPXS/mMv8e0ZOAe/mg7S5VXmkWr7 VEk0dR5AU4kxRj4XjFKlvVLZkhNdXiS3LQk/EziN2GSKJjjDKBkNHEfhYIGF1ZkOpC43O4yuYrxk CIWTpVXywZi8wCaExe8= `protect key_keyowner="Aldec", key_keyname="ALDEC15_001", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block lnTbrZfs2R120YpSyobtyskobEgxZSAlXnUQXw1gJpszgY/hqhzTy3v0ru7GipkY6qPoEcZwNnVX iD7GpCBRhqKix8pqMugQ1kvNhkn1r2YRhmA6XHA0ry90LNrf+n9uqlf476IBJTLTd3uu4ZngV06I QvBbiq8tjaP25el1krCHHl5rfNirhuwiDDOMI2E116k0hSU8spCYQ0rZ4zCPJqOKT+fAtz1I+L2I 7khRnsRzR+YQ1RpBojQPxfqkEiv3A1XZQAUu2jSrW9PWm/3IpjLtJkZmcI7pciYLWv6MsTfFOhxV 6plNRVK33O7OxS/zjPhtulkG1IT36qOdQJ/Taw== `protect key_keyowner="ATRENTA", key_keyname="ATR-SG-2015-RSA-3", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block NYPBrFjA8rEwnRj94/W5n/OoJJ3VW9KspqmB8LGhkba5zTpRXGx5cP5VSAONdwboNqGe1cRhXJpS mEHlKqR0glqNIxnLFETHEfkwnm/8dMDrYX6GKlEZVWbhg4uvlJIq7o63AhclqIqjyA+EUIWFI9av c/Cg2WZkvMEk5Voduuli2eqGDoNjtmDUO4UdgeH75LdFY+E+U4xGGx2EjuMxwi6MtgMAzDD+P/gb 2nE3Cf73IZGJnwsh0ov4Y7OeTZ3lhbpUZqjEbOmWRvr+qHsDr7W/qKnJlzCwft/TK1nwPSkQvDoO Sh4iuY6J4CC2wm95ser/gBAkQRbDLCyN6r+p6Q== `protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VELOCE-RSA", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=128) `protect key_block l8u9KWR7K0iPE4cKBtlWMJgIHngboNXFVNkkmZ6xHn0dciEOTcoZJ47OzbolWOOFGMusDRe3wPtf ExmCTLetP1a5jaZMnwKNMmVJqq0v1MCXmQo7CRSSvmjqubldjetWzfvokwLk6MZBAh7O+uM2lRVg 2JUh5JSpOyhotZWrrds= `protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VERIF-SIM-RSA-2", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block sS8d+5TDoy1zTTZMk5jun5fAGkESRFp8QMV8vg1sxwTYGe/MJptNpwEltS6HAqGJ0yAsHgTGiwbT +PlF6ZE7GdA3glLDui13HfwGjVy0dNgtbTeWYb0FHGMjNDSJfT/IEaYDdKr1JpgrZJPIOQ7HrQF6 YMldqxFOawfLh/OhhNaa5tKLjc6+CsSrjpDc2xu/XL01G1T40M44u9ezedVs8kEEFbhhJoSZJr+R Ylnota7Y4vr97XPxV540BG16z5uWCTfxzmtqjY0jRsdmMdWnhPVEemtBUdyBgpquhyWigLjIdd+m 9FytOvuiYqx/QxlsxkfK+SGt5NTbSf8tnbpcTg== `protect key_keyowner="Real Intent", key_keyname="RI-RSA-KEY-1", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block jNC3g1ETgClEMyVRhJ9X1s0X3KSfCdTvdZ0hojJSjoINg4/IQZvPSTnm6KvDNN/9PD4ErCjmDDOV 7sMbtgU6WCbq/U9qhyKK/PWjXyTgOd32u9xnMP6sNlms5y7haCan/c0J3oVpTutiV6FVgEClXJfB n6wb0JyxR6eXI1RBZNNu4xSis1Eylp63Pg2jds0dA3HV1PfmkSmZ2llTUpuUh9dt5hBDsgevFCqq lEiJByppRy8Qv3L8bbNSl4LQSQfiGho07tKxnCrEOqJG7yd5jckcWNgwK4ONAZrBPYPjgPr/6etW 42E/gtZfx84l0bOSgB+lTAvbVJ/HXcEJ+ULNJQ== `protect key_keyowner="Xilinx", key_keyname="xilinxt_2020_08", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block pTLqFXpPpE2wsvVFAPd6keg/FFvC68hyF9vLqKPMM2rj+6kUgPBCKgz90mreQi2fbuua+uvx6l++ PnxknxaYB9TXxHfRJsyoZX/lDaUDvlgNy3lgZ10JP/sGNlu8DMEiANoLx2Ohda9e4aq9Dcpr3IWp CBAzAaUYSGBBZlIxhN0o1NGemu0bHisB4FMqqN144xTFb3G/ofll70V6WbXVqq4JSE85mg9m8Sny Mylfwhg7XM4vQBhWx1WmUxD19oquKtZeWYm8xJ/0FtlO/dZW/v/IrTsBHO+g31Tuc4Yeq02xEa1c lAadhPvCyPFXNR39xVwlTKf8TjWKchWjriUEHA== `protect key_keyowner="Metrics Technologies Inc.", key_keyname="DSim", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block Mz18GBawyyJpgag5k/ejGuWP7010DXxbJmmL/KB398ON6rHGp/E040EN7rcmiOjYamjthKi+JJ2H Tsnh2qF0B/TBwgXWQfN04JV3tPSOr334V4HruGr6OWUGQAHJKJsH0QNDci9vwkafL+ZLz1+0JhRU Gw+LKI/lB6iQ5sxRT75gHKPtr4swUQdSkdcS9UFHulKsKMJPsSMMQnlVkHPnlvM3c5gHCbWM1V/+ GXVuzNWNhwqGZz8iUOKWTw2IVwb2FoqM8OcImKR2VhTloz8FFMN3uYbLd6PqzMrb/IOKBNzLq6ZA HllfEYb6sxyvg7DpPdUkiMIe4F4KLLEgaFkhGw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 57344) `protect data_block 0NsC83qI+b7RvwS3lk/Bv8IAisH059ivk/CFDkAdnTQlJAEc7e5qmNbZk2ITuuCeJY32GmJlN+I9 qVPC0coXt8fCt6FuBx0t9fSpNVaO9VSkhC5s4mQscFRSydhM6Udu/OWptVP3dMDFrJ1iBgPuW2ue V3ft8wkC62Z9ygyxWkKwQZDs2EfLHuXuAslfdVXeRaLwHtqcVkF1NShqIDX7Ck9siEutrf/Xey51 OK348TT0bXhXnbIzFxI01Y1Vmd/IGkbQayPdMY1t5UMSAyAdI40JF2agkMCU5PsN/NL8R5nq+aHQ 1J3LuzNgAZ+89zL/7EKIZTp1u46ZM8xQNHxnW+hL7zlR+scLyc1o/VKEQxwLUhJPIokJzyMb4L2f Ec7FgtpwsNKcl3/KyijjdQNCgziUEEkgMlHn0ghdwNkMEzbdas9nmwVp0Kbp6vtW4zMGeh06pTI9 MBjllPbMzbwMQXQwXifRswAMkDTC7fxDLp8DyKoBuEEPeYnRc1DOEhJh1GwAm22u0Fma6VPqNieX OPgOHeSQN0xWQJ6BdTqVpBR3GQUH3N5XpwpQ+K5GynYic4XRhrotaWwp1itoYcPjgU4SNfWvq+Xv HKiC8Xm4u34pu8dVQ8GuUmXLc6CejK6/ZMhER6+h1VeQACJuWfuNYvvNL2NbghXBpk5ye4gG/mhM JBTq04oG0HmFjo9QV4ZeHtLGYMYEeVOS3QHPvdA3Wvx5r5yN/49YwYzhqrY39Sy4aif7crza8j5Y xwCt5GPFr6sjIpfjlvTPyorVp8zxqq+9TaXFwUIDwprdxndbtueUCAIK/JcFdRlXT6DNp7fudtRD hPsCorG/payTkEHhhACybXsT73dr0vhmxzbZ1rf717qtc1y8u/xJjZbf2S+ZtjIXY3kG5Xb9r2wL NveI7JDp8qY845r95gqnnQyRAKQewH7rPAjl26roq+UiWhMAJIHFvKjt4aeTf2AzaD9n+3Gdoqfo AWxVSilWTq7NmrcZlIcFRJLcV9rn0c1mvb7FazSSaUAqnh6MoFzcGofLOmMiHTfwiHCvIt+ayB2R Oxr2ETlsDzZr24qj3x7g5ifFX2OI+4Ze699ePqk4AtMSRH0y4GmXXVIXFFTL5Az9p+tLFzcXXQQf QNgtjvy9dR7JNaocGaRYAQtHvgoSrWMQnZZzXXTRBiv9wBQDqiZK6N5pKX2sdxJrte5m9IDqaGwz UM9AROxu5npZtWJvdUwKjpHrNxHtYT2emIXyPqz7j+I9egUA8Ly3foa75FWfhE5RKrwNp6FTty2m IDsHOILkWIs06B1d6x8ru5Wg6vOU7RLiecqxNoCRId/xsHz0+YjBzTiu/pxdHlKsSddD0Ba3RJ7h /7EnGk0NXntsud+q8NRN+smhXtISvhaggwu7ZgrdQJ4VD32O19uTahWiCzJQo/g1qzz571FhBd3W OfNMJCykFOR7doGgq0IlKj6zDnj8vl3yZVXomN28hv5e9Qq8YNfujvKSyPIyJx0Rw1ExthJGUnMx I7QYjaiDictpkwvNZFL6dR8hKS3LdCZhnlRzt/bK12JHnj7eQ9wIbMxb2AeW6s9WrO5HjF4L1KUO ds/m94nSWQp6ALVXXLDRGV3ByixbMClJjCZfiW3m7lCDvbId5rhtnbNnNQdXeMjaUg/Tsu5h6KJ+ dFJkb4yqpPLjKEelUlkwpOcrEECO5FbsGUNyQcvH3P1c0ZLta/pMnXAjyOx5jF7RutLFyzSxuy/x suCipKY3Jux3kB4NBVLdvPKktGbCXFqjv+uaLdeARcBMvwYRta1P6H7rV+vj/cKUorLWhQzzvj4b mv/iBvMerJGqhqYEsbOrhDtK+0RAX3GBl2DrL9zzZdw3+zPY/ndt1x+lEyWXAi3u1AKaSK5KoH4R +90nEYFU6gEuh3byf3+I4R08yTKvdxB8XxuzIataFjG7gdGWj3vhxJMBsPYSpUlKQ1m+M4ZqASWq X2pAt7ISI3907iaggJkkQnteLOWn+jvfYM9FFHv8hOxfSxmmnDfZjk14kTRAsszKyesBxM4NyG7d RPvdlQr8MAxnQN2glpC3FUWl/9IjKzgPGuJwialwQZVNXVydoZYYtASWY/ESZtWISUcuuLNCw3h+ ESVq4EZqQev0VoKrCKaaVCK4uzQ1K7nvyHXzoYp0qTMHdLvwLtIgAMc9WlbkNanqbhEOZPByBxyr EuHQgP7OlNj8hQaVDaXKNF+2dXE88RTf+GXAWNZTXUzWCqtH0ujQjUierZfcbb3kPLTZ8qtOEBta 3WSDRf62gkYIfTN2aLyhomx7Av7t04aotVM21PGS+nrInY6hRRYcB9sTQLs2OxydYPWT5ae9so39 6qenJgVGvLWFrolPmIKQJchkvtRZJAn3Xe6QXdi2Zlc7M5rbVTQTI09Yhz4AepNVo2/uObD9GF8H cIheqKn/qGhWO870pCqXb223upJGiovxRgQRTovFjuKXlBoNYrsrPNdeAUWKZ1+0E4F3Te9x4HXg Ts9zyP9nxkdl2nI2TJsyWNdIwAwHgC+xL+t9LlwWKUsbJTPA+j49BG85Y10X45lJWKTlU0H4fizM fG0MyKxp5JKR6E5ZP2sAkNo1k1DJ6t2wJXuRsfmy1q9a49kk9O4FvA7RwIVKPHrdXd6wyeQRgQaV 3LBTLTyo+r5TlUgE95Kmj8XHoLfG0DdMkRPhouMB5puF+dWs170xXyVqBTCI+UTBl5kwi0HSdzYB hrH2jniEBMzkZw/Kp2u6HvQebIz0FvwYnw+PLHcjUdMJxBaJGNuskj3qofiMqHp3eyV0WSYCxY9D 8VI0+YCQo8bzgR/0JPyrp/GZY6Tg7RrykK8QOIS0JgQhpC+UaezGKVBdnFBlZ9viVu+F1ETn05cM /htYZ37SzeHOSmKBKoYBKnJIxjBFs/0M922yppJInTG4ZBR1GrIOsoROSnVO9CJmFdne6OQf+Pf8 KTFFa4kLGbVL14YXr8Ba9JJgzI/cORnHekNCNKQjHdGtfJwM0EfdeqobL99CSMf49QIofBjGhvWZ VPiXPeKoyFz3M8IXWbOPt/XR32TiEDDlc02oR/YmZDBKH47HpJ2a3roh/H6cDouSUPXhu+Vmlioa DP+YRkN7IlGyfOCWq7tbCxfmMe1Lm/Vi2t0tL2bGDzvzPJEcO6+K3ab0tUIZ0b8IbbXn+2BwoFGr 4jjJ8vEiANR5rsZy3MDe5JjNQz6hoVzkIBBzL+XiiAUn4ilcwL8xYFgkPKO7EHILSfU8Gz/Gbn+f Mya+pQYjNU0rEbzyzt8U3sLhlOa2EoE8jU3I/EcxQ6F8/Gn0GxuplInk/GjXimx0443y/4laBf/7 z1DoHzREvTpdKcuNR/onntDeHai3thXECnwK+N4pDUHQDyJxYEZF7NH9H1R3k9RVCEKjhok0EZrb ea+qmZCRx1UJG8iu1h/F3pKof8lnOuIudcfAaDT6vJzMW4vubY/mkX/kz5qgTkNJYl7xnDkIwGnY jbqYf842OSOP/FldA+0GBWcQ7ibyhYCNOrDPGroGECmU34fGOmpZek3nCIzl2GVdAqxa14iceKew KzjCWtmfJf3nvR6CfQvQY5BRI2VXpjc3Mb14E1fAq+i1XVbEu5gjh7/GQ8F2mk3vHnaPV7+QbP6K F3EHHOC2/k0QxE1jG+kOShsMfI6vscHQP/i2uzLWJSh2GlxRVkcgaayIg31EvK0ll4wbYnWwY5Ri 6emiv7t2c/8U4DXnRCEYGHX4KPt3wplKmLv3sRB1D8sqEQ6Uu7nTKGmo5pC2Y5hzaCpoPQhk1+oa N2rLnmc6x5BXy4fS37p2gdlaKG6LEYsXUoUGWbodGJnUDySoPXKTcDsqX9BIo7vNpjErT7FXknMX QKNbCeXlo0uT+YdeUccy99tPWiw5tMKrImh3OiafGpnH9C0HnoxHrPzN4KLLXe0fTXnVCb3Oz/gj jItK5fSo5nvu5ghXaHn4W09ct0Lzzcrbu1Jw8oqheCc5G65AsoYBBkqCLaZrxWUGdHrL2RXshfVK T6u2uHAt7tp89fUEyciJIvudXH83b4zeZcoFDb1oxtYrSK8xyvq3UmkNaiLj8TNCl2MXwzBOsoIq jhLVDk0BreOFqCCpPKDG/BlrdWf2tGvZrdMrXHYw1VjgLQXqN+Xm8JuxILOa1N1sBDTX+getHROy Znr/FE1aoKKN/VjBU+dIsTBsekeXsEO4F0hr0TPkJh9x12GJgatfKiKO2r0RDm+W4Zvx27Yccqte gWG5vL4P/fW4N5PvhcNVCAw7laNuw98pKzMl2x3+T+HhmmXpwCnH37XpVoIkdSfe0XMi7uOmz6Tw e/WE8smoJ032q4M2/083DpkEB7CIN1PvSxDSHns1KiEZjNfv0KAUwxb8gEui49r8uoxXIwteavPg b56aC2Cgbms/TgF7hVmfSF1OvGNE7HPZdqO45cDzS9EB1/I06tqp21ihYizT8ZpUSPW8Axy//DeW TAoITjEbzxPTwVo+CjjHAbGFdvbc6cGsChIQEQ6UUEDMunhgbZBdz3XiEGBcx+UKj/3rscRDJ78T 6V5IbJUW3N+7t5gTAmvhiRByEYSRSWSpv39gZRjE2oT+DKlzH1fIFAGeaJQ2izk6VpzTnvazXOGP 5F9aQ/tyZRjY4f54yfHeQor+kgrW1mH99KczPhInJrny7qzzEa26bOxyAOgruVH02qQzueunPTUC BlQqZQOp3PAaEpguo8oEfPDr5+8h0gERJq99pM++TwyIDa59FysYbBiJbDb0xbrkE2QeV6te+15V PyhwXPr+If56IMIRAfu3ct5kF8Nc1OTrlR8392i2iWctsAz10lVUVx+26Hs9M218fPHM+Qz/uiC0 aStpjxRBWUsmAPajDXxJLwlyjIknwQTmtw9pQgZ1UqROiwPiF9mVeMPvp7U2WXOyoBczYtDMk/bk QHpwnV1xBEIsGB1vbRrBex5pn+6r0P9cX0dM1aLv7ChqK59n+Qy7a3qSbmn2NaO4KIf9CfpBlOpW 3CbCdepG+SdqlMoQxZIdH+mp1/+vp7GRCPytQXvanUGscxysrOy2YF/sxt/d4DD1JVMWBISbEJ9J IXKw4gXHEhae1VeIHcBOwB/An9bumJxLbb3tC+sNyFCP2Ig4o4tBMJ4DaHXTiYzLi+VhlxuELtRW kZcQrAoh4hppjhuU/6vKRNB+7+/bwKPog3Q6nHrhkwff2W3dQlHM1PUQi22EZK9CQHegvNaWRKjS hxypfdKBCi2ES/XcyKT3LeAj/yFW8oNjs+e40g9pZ2YIv3ivR39wXGQjz4u9lqSKKfDgKIlcmMc6 CNT+9aQopSsYe8Er90ENHsjyes6Cyx1d71uas9S9BYFRWW6jr6Md05Fc1sFDYyBZgW9s9GUTZyWi BOMUOaL7Ypy4aXrGs0EUBZBvGZPElv6f2zgu9Bfi/UVj5gLWolRGMs3hE5cTKGStEBmE3YqxkYJH crzbJcOueLiGwXbeI2IcjZdpbielBsDQIn//GY3o2dJVt+71m4Y4sH/zGh6IAAlOhPdOoPfJAo2L pjMr9yPdcHAS91FpBUBL6dv/0f9HArWnMt+NS5qh86HZLga7jYWKLju7ck8K/56HyfIprfnWUT5g 42RC1tVijYGYacyBvUnnKOYWzZGevFD4QLUxTY3b7qbkKcoHO/w4XUmBEqPvRiaFP4Em456q2Bmf NiJnm+GYGm+N3LBZ3DSaTCfzSTtRUmXb6SBX1Vk+qRdeJ2xGKd1DKFZAouqAOq7928dnQUUSKBJb +VwsAmeLVH1J9rD/4fmZv4x754PDX3duF4k9J+UXAYq1Ffd5Muk++UgLwsg0k2+H6kVSQIIJbm/e 36571AUq3bXKV0u0rAQEAzB9he68n/grzcH3S4jMzltiiICyuy6XyP1LH5s/yfaZbU4c/CGJbqkC WmUN1c6f5hL+IMxuEaGoPtrWjA26IjdCeAgsbvVPAmkOEkLq79BlpwX6M1DXW0rKDyEBVYnQsPCr FyfMKmkNjMPlcgb2vmVxxwMkTF0vFv4aX0oEsE0HPrrDoW0Pd5mabJpd3yKLQpHtD9MrxuRym8ez hypYhfA151A76BcCLDVnoMl//O4gz6L6pti3k9Nf9znznc6COu96SoQC0PJRuYuNbejqD6Y7+iUJ nAaGxCGUVlruaw8iCoMRaq5YIC85uT/LYt56i8UtnOawBkFAE8HFbjsq3N1fzZ9jU4BgS6Jjt2Xk UriEBCcnocLNI1hLTRu6mqU6qfFvabqV7nM8cjLmjlDYB3N0W+kal/Jvrivfnywbv/udF6HNQeaP c/Y+IWRuV2yN0z+MtQpT+VupTqnLBkTxT21M+3emKYdlC0Kpvv2GhNXUQxL1MaXOCMyDEioTR+at IkjWJQpvr+S0iGQGISJtiMTO/iTrXTCEuXPcixcMou5xhVnhj8bX546oc18W3hsUCMrmJI1S3AGG a5uG3xpDkWJ9OaudmYTC5Bx20A7lr/8QMRP/JSVBcCVNQIbcavs1igM8LX84J01NuG3uHSk7DWqb WTJJ16FVEYkX7g15h0Qto/vjfICkipQhiNxOWJWLRwGw6q/LMoXtBKawGCaB0Ok4s9L6Pd6HQBaS SroY6b61rhb+KdGLJezflRyBW0EGU9+G1s28w2jFvmk+kuzOEsxzfGJ/K9gOfS/vWbZtNCEMb5Qf Meq0rVz9bhwInOpgPtbDZSwVuoxq+kz+n0eNh312LoOZ0Eh/IV/ukBmu1t9kfWeCoCXYKDQUCwcn qRMS7ODgek2EFC8kbAaVc1atxzZRkOoFzjossOVGotXbpa0i0tFRhINiOUHr8Hm9SwNJuik8F+7L 875ryFt639RXvqjcROlGSi1SGZKrl/5IkFJdkImVxUtaavGALgdM9R/xc8cPOCim14jtKsVNYhDh kNbR+Hfgt4vT8mTimqRtuKybYITgZXajgQSekXU/uuutAyE0MKX8yvw39/pXUhBIbnNDSERvMRdw VX47IpGVxFDn5XCD63Hgxulwg5XG6IMB2pmhFf5VVI+mxa3lPuwWLJugZThlhsF3Lv0DyYNizFwf ex2ZsMD5ZEZC/nMaVCuaNBhcVhRTiLP9Fd5tqQ9uhjUTrBPuXdA/yd4OCYSiiK+EPSgQSkG2OQ+k Cm1py7u0KA/kPgVnxJlh0hQGAsnXqDO7d+ByJffPYZH3RgZFHWI3IiV7CvfDBGfQdA6L1B2ocmHn NsX+kn3co5dQpw8dQXaGI/+0q4YeWqPDCS0UkrryK6Pfr5AbaxLjoeuM/toYMhP2mvfWdOEXwEkJ pnTkxyh+vpjszlFRA8rXrTAXpDycIwQrIQ3bIRvCLTUq/bJ9384Vf46vMHJ4mWixXkt4oDKUZRl7 xU4lSVcAvY2sy4cmlcL3Y1IoE4jZZWppZB523RuRE4VT6OzlS12vsNHPt8O2jLpbwfhhQuH8cu2c Bm9ulYqi73rlNVhH/2OtQBSvFv8YOjuuJhp13LZAmL0ARVYWEsbg1c3jAmfIXEDvdeNOYdnzA4GD MSy0p56XnhnY8KjlugI98M8XLctxh56u8GKjAD9cULBRsFg2Fk+9pGWai80k2w9mHJ5o+B5G4rn4 7HDtnb7vytuVY75fK8csQ63T97quFkOya0xSzRdDZOUA3kv3+RBYwXEj8ZitYdsxQ/myvlv3idEM ljbGYscCKoIGQNvHoDN3SJRqiNvTM749zqvGjnVLSfvhpL3Y23IVyvge+aayMfIA5b1h6d3Vy8YB dWfxNCgIFPVq9NDqfAMvY/SNM9TXevsSI4A3EUEGT3PzEQFm9KSbVxRpBwQQXe/ELhthcgRcxhkm 9teVe4USlpbx2vv95EljwJOg4+zxPuSK0f4YUAbajXGTPpVqJbg90wqBhhNpSx59o7YE59k2qY9N LuJJn0fwDI4vF8x+J/en/YOQPbVfbunTp410Jdvo4YS/a9d41hR0YN4nxhL22oOsYCeoUsPDnRyt fl7/YNnfvKfb3d4CjYJI4S5tjj/nPEFYAzHQWspCSQR6nEk10RDIodpcbQ1z4XGtcDp191lg41LC iHoSBXh9qk+m5mk3X3Jg2QakFOM0x0NUDUDr8IUUYu9THSqJj7HBJM8ilVkmksRMGhJ0uHSMQloh R+Hno6WFknZ5qPyGnPDqTTJPIrNYZuhq3BAQIzOPAu0yiuzmuPup5uwiVvhpXAxiD+IqAfC95AhR j6YEAHOhyXibc3OY+ECZDBmIEHUl7Sd3U97QW3pYKfeNEk5tuvhv7Ckc5cdQnDvjS9PPsIBCdaqg 5uKaUCrG1EqMbUZvaSAv15Oxq1D+bSikDcyuihVe5FhHLwUgCR0dCwJN1g+qLL5E5F1zCBF6xzaT crmDTBTROkP/gsOAhbN4vYh7Yi44vsk+D4+NHQGGZX8rCEvsndXts6sQoa3L/qSSoEL5fcqwJGIf +RksPrDH1XjqdENKt2T5HO4WZyeduUJbcib+/pOGZskG4vn7xW6ZIqRmbWe5WMMb7PD1+wKaliNM IeXLjvgH+yZ1uOnX1exgUdU9i79b3sdm7nM3vdW55Q2NgaCvylE1O+m2tQPbMp3mrag0e/Lw0FNy e7DDGJCRgshLssAulYRNW2pl0lHVUHxRPPQcl01fVxz34IeoeBGBjw9raeZeY4SlO7R6pCMoB4ym yV7qZFvHRpucadVh5YzC/HDuCRj4EIb9GTkLsyJm7ky0GK6sgd1ZE7HanGP0H7mpAKJ6kiwKUOjo ApvFeODV4RezFmMEx/+TtlmqqxsM1zSK79OVf+/UBu91CbnDrHvB5OnBaASZlVAenSVLhsj4FRWb 3KHUAqZNGaII9gafsqS8d6n/42IJRtRh/fVqRJ8dy0Tq6FSyVFm2OVgYR0ehnZXjV1eIz4eJJKxP JfEhSh89tWY/iCnmLexdmlh4+dIvUA0F1RdlROkoEa07GmcT7ckZZ84HHQo/Qq7UQBW7d6wUsDLz 48QwXiNneacYkP83MVUvqjgB5YKo716qBcJrpkWRf635yD1hxAN28n2RRg7DJ2NSRDEUCAXp/kkp g8j/IAsP61WS+DBmfNbouXlq09Pq7Bp0sbbwjxP+lCfYO0H8W9GV3i0H1Y9tJN9pVXvpximU6u2x BpuDn3Hohj39smESOJMiCZymCGQKPyvYynAVcIeA+pBUTnZi9koNiDcge8508CeaFF4TgwOpxNgO IUFU/bE7RfpvCNrBT1+E5j6iZE+BmFlRVwGl+HchKJ2cXn2+CyUKwt1u/BwvongHFL4t7Y3IN7MM o/oWNAAlxBWX8JX7sZKTFDRu6PwvPPE++MAEdR5GEe2AvSyBRtBZ31F9uytOgx0wvhCjZZIA9bV0 NmG2O5A0aqmjsTI1Sgm2Q21xEkRSgHB3wtnZXYR8BeZEJ8I4oGcldGpKSJtBVecsF12kf/x7GT8u tU6esoxGyrZdlewXMB/pcbsHfPToGy2bg1raVPv3mgobLBUjurBoDTauB3KT+kj5WHB061zXw506 k9p8LhyAiQFm2nEBNbRu2+tydA0HU2rqufdJb5wO0oT1YNG/30ctaNt5d2BpmpcYxLDj9SLtMqeP zX5HxHMxKyxpIvoY78CHw88QTYuciZF/hD7ObY+I1afno6GpwCLO/ONNuhCneDwDSVMCjdXfVFOd 1fkFHopExqDZCRwlG/Y4TdcOj5yG6UfPMjmX7mQaAUqAURSn9f/TrQ/qYPvCpE+IKJx8IhRKnco2 jR7JMrNVceckzce7q2HLWY2PBKurD1YsNSDMhnn3JPMriA1g+RWfSjCRGmUADn21FH2UiZNzMQTS fnj991YtxuOrzo3qtFbekqB8h8/tK87ntr1C846M3ZyEmLFp75G+OFWRw3zOZmkxPdeOVcy0kClb 17a7yNstcVfVBVpyazzxKN3CFyUUA8+EZQQr9MpuLbOVerTuXpIb3mTyhA68cpbUwMbj+C6satxg Exwqd+Fz6xZEk4n9k4L0ahHgzfjckv5WpOXISyt8/itS1JvMAi2XzrNeWC1UUbpgzeqoEeOPKh0Z SPdBScsJsnR0ACp/nECerE4HPkiPwBOAL8ViLm8gHki8CsepYl2rO70YWP7ADXFhnDu1NQ9c8E1e fhkbUiv8e4KSIp/1wPQwnPF/uWAc+eka4B7Qpw6bM/y0XerXlbOcHgupnnGUrqAOQ8hM7J4cfPQD 9JySJxqlVmF7xdUNDNt9+8QA5WXHZLd8fQlw5mm6RjSpoDUNhFNeavmt46yozq+1MzCxPd320pWY B27meSteCClPc3WhMfU9Fnz2ktgZlmk3mbIvbR6q6zy72LN84BKO0FcSNrzmnUBH88mnGH4fIykG 1vwZjZqDwDVKyvDbgnd86tvON9EaFiBfshIITEHpv0Ins7hNTYw/gPNBVVPnrarMoeW+1b3JMxzJ W2gh5iQisVNNaz0ov+CM+1U9190i1/wWgdvxfu1D3ZfpHwgYs+P6mIthA5IuGvsWi5XFsjLI540d U3lTwh0mYl6crDu2dxv+l3qEcmcTV3JzW7XKmdV5L+1susqXqhvsYa+aq4IhyPaoZebp/JRsIW1S ctHRnyixRxqL50ERLTzKfVEaUy54/EwVseKAPjgUQoo4nZ07nXontBK2nJw2I1IasXohI1wIhGb0 jlL2iwqKHFIQd4IdLwyBvhPtgxaWP+P0ivtyv+oTAqIysYxRFaxCImcNiZjSM2ti0q/9tsYdlE3e DgDZnaIgxThhS/llm4QQa62BzhnJPr924hPvOakwmyilnolQ7YHAzKB+m5rJV95IlKAD+NtrImpH JijDo8nVf/rnoyVKbMmMwIBG4Z3eraHkHa517vSaIVxm3SgrNjHvOxUFRyIheGNXi/A+WXiEMiXk Fc68vlzgJL73+wm1TqXwLAQk8f7AcPr7iEZL+OYiViK8Zv/lziZOSQ4ldquZA/ahuDkUzzffFNoF /Gir/pNdNRXKyKAwRTn7btE9L8BzkZLZP3ovV22W+uApoc0GWislbmeoafOkXOSt/ILeakenuXTB /kC+0SieuXvthHBEy0R0fYMyvYAyAnwmNvpZLp9Q8hBE7Va0peftlGwRLQfLgT0FaMkdArimd9PU YAHj6kMsPJ/hc0/cU0CMu3SGZlFQizBa7ZfuGqcGecZwAUAJHctGmSNdOWSsPbADt4SwAMxEc5Kc 0uMjcvVNXA6VnQTLWE+0/pDCrLwDogRHllo5LxNKVzRpSmx/XxgwR/lChaSpdapwdvvW7sQeCb/+ w1LbNigOL+HYt2n/ItCPReMniMz8dYTFUKYZZzy9T/gx2RKYt3aHDbaEnYFLPHW1RH88sDk5bN5v Qp8GJsKJ3ZKFpDo0twX1UjvLg14dbGhNPiuCU4EpGzix63WCDL2CBMKwVHGpq+9CYYoJbSh8FQ6c 00Oo1ioL+eWWWSTP2JF9yqcrAqDZbIDAYqSyLP4yR2n7ZaBHIPIF8IalEMAMa/h1IoU4nbnaNAl2 GH9/fwUEbTBNsiEeSw6pef7REP4+AxHQi9vV3LaTl9EVzjoPNC8Zra7pwD/oVsJ5jx/B5+2DBrf5 sC3rBunQErc8GY67sOa9sERfgJvDZiy0Tb0UpVDPgZUrYyMCpxpnrDH7n03335XroYOBrHSToXiy uOLDc1kIo+RuVbfmxM++ADM1POFi8l3BnJ5fiBwQExju6idhu69N8e8mWHh4rf+O8q0sQYd52jdx SlZWHX88LelIvAlKgRLdE5Th9EKzH0nlNaWHmnbpV88IjZ5ae/Uk92hmI1KZoFoodASAQwKlGgE3 lyzyoGAML3l6MbsTNTvYYuyFMPjUYceKr6R5Tnk4XR1+DLXYi3fyn41oN5xBIaqOBv4OnTXijmOD 8ZTQHtFr2BxmIxJz2rjsQCir0aw6dO26ZfSNX2iA/LOfLZdcrJvubwmt71weF3Md4aViUkYPW/YX /ET6POHxhwWxvxg4T0SzjCdH3iR5XOSRWq5xLEsoRSoaGlSD4VsFtItPA0gSweCmGLyvOM/5ZILW dEwL9ZiDhB8JycFanZo3ur2ZKgCtYCDPeKMtYZM045pqEUpj3bTz+UmbfF7fRIpQy83HX6jSraVg QO+2ZJDFhgyefUKkbkmfJeqt27Ygo4BRBOCmHWc60ouzZyDdFAFDTd76fyU1VVDtYhpjFdFPtilU sCoawIP5+wg3Fl2o9DQfETIuc+9ffAF5qSPQQNvEfmEAT5lidAXar9f8f7olmuY729/57Tl14lUV S5X7Yvd5CyqiBiH2z8wxu2xkIxJJZCVa+KcY/Pm3/6dwMP8ahPgjNAJOv1Nl7GRMfKWtGKhc+M0p TXSlFgyWrVa0xaSUND2KP2ZvZlfb0MROJGtAkuIOCyU0T3pJusl37r+0tpWCHZCEqWnLTjkZKYfa xRTBkYPNSJOi8uKGvf1Iiv5BJWKfyUSRq5yiSRI3GDekc//0iPUDryQLMHqc9BqdNRxFWfsAphl1 ypB2R1despVKVnWQTwABZjbyXXEDN/djJktlyuukKFb4Ugp6AOM3m0goufhAGAGRLiYkP+L5IMyf ek6LcI6BUx2KbayNsNQ4j8VmCX5Xar9cE0PasY0f/aZ3YTgDrB+5op0MpcGO8UEaaDO2BySfOnY0 MkQt7SQfGL8rnEG54ggPlGhFanwc74xeXZAVAmzy+oUzTmYjwnLNRl6e+sK8lST81/WGbecEGziI z54vgIYQDklNIpq+2p9sMbXqZNTF47Dn8mHFwWb94FYCoZhq3zGv8mrTs7V7ew+xGpmzCTVwb7O6 i9bar2y1vysaw0uGUadGd8wsSxIaBcdYPAMuj5kHTixVC1O8XawCZgGOH2ZMC2ebMaEMqyJUYe/C dP9itd4fmM22BE03x7RiWPMLEIMKQr+KiQD2CmPmosDV89ypuN420kRB7C9vhvQNOMW6n9xJVSXv vAInFsSIkVB+izSyX+1JTwmTmef42FQUwJEJPaOXZZyBJrTgyb0yWqRsz9hZPOXliZkKpSF6VYXn fI30RY+QO4X77DiQ0pTOnXGcb4I3AteQ35g+jGGAJxCcwGnmCteIaBBT60u9u0LYcxJ45LlQ36zS FIit4hRV8g5SZUvKPeVpuM1Qi3krlnshcqXOMZyLRG/0QEwuOqWKLjYye7jIaaBZIk3Xlf8tYxdO nNFpcNR66f+b9R7qG0V782QIsvQ2s3z9kaPx/O3lZLaOk9h82mLcUdDLoRlAtOHs5nq4eeYN4vIi 9JrkXh7EGdONg4+3Z53G7b3iKAzJzxImTRYZrG3hwFO/GtZmSibuutxa+IdDYCjOJEhCperLOxDJ IVkBBQOrZzDyf3VHX/T2KqZrR/6ols9IR2qbQGHob254R+c5LVqzlFXjQDCX3mfL6uJkBJrT24Dg cWXrwGpTcqf5uXR/mgTDS5g8/nzq894H3pFn16UiQ/aml3Gbf2bPQgY0FGyoTC1tHKl2EImJr9M9 5fTYV77lGu8MaQLl7aM0n8wF3J4BmOaWGtFNIw2GaJuZlInbSD31AbklmVM9uLLAfd5Szb1FViBk U2WjSPr77Rkvh7dvI7RRZ0O4A3YfvDViEXiEZBwRvLQcW+5spky6SVVJNF0V0KbDuWcOlS5005Rm uR7AEnhMkdNQ4LQ0oD09yxNH6a6djECeksqXuxjinTCdVRZFn9CDQIq6sCrqURS3I4yPtv3JsT5n HQk6IJ2QvYzLJUKqg160yxyWkT1IINX8wA6Ev9Fs++UigYgLPeBsJGzRvpqR9Zo4qcD6gfYh5mdK R/djY4AupRVrH/kb/TWgBcBnlR/SJIOnK/IgoWmYY/S25P7yBnkU0zjbc/0sEiaOeldQXWuXg7Um vlyv6Y8cgCkCa+Th0pqqYNRhzBl3nX4x7u/e1vD2szQDLKASNHYrzHRTb//aQh3JitL+vvzqdZII it20KNsBtUM8KbXQJSe+KdSV7PET//5q8Kjn9eFaZitAFgxNR90/LpNyonvL/1CuLjKwlcIIjkwN tjjIjasx202Bp7UG8wCucdpU62EHR/jDMSQKwwGG2zRcwwi8ucx0BzsArJyG2juNVpJM+QYS82E9 4KgEDYDkJPtMT1B20ulLSoSb6w9i+qRyuX7Tpd66HkpaTau78C4ah8iZ00QTUUt0ZQyKitCPb/4E E7BxdKg1SX3CNpYXuG4X4MHkMFo7IcHnSDhEsOGaoji89Uqx2bsFaufUkAQ0/S2rNEKyQEdkN1Zl J/CwaCWWTul0i2MfpjyNl2ikrGtMgA5pasDSDfmbwFmuijHeEVCYGQZ6+NI89ifht0wQRCIYBHSf BfRvGypma91RACiTj5vuPvAFgnoiudv1zJqxqUK/hGMvrkcWXSYqjeknBefiTCBEcu6DrvCKuwGN GtgdPf1q4WHlwqrlx0WwG3JpnniyinadrcA5l36jYIVqgB3xcYeX8/OloVVj2ucI5WjiFlAUx14I 18nIJFbCKPHr5cS/La//aln1mHjEqU0R7Cemmn3mUYL7FQVp8ZfebSpVI+HMNxt/2AOqSVXxHy6+ VAUdBuoEA+NVjzJetjzPQq5Yi+cYtQYl6+vTVlRfzcyeAZnwAUBA2sROSjBLvftZBDWEA2Fn9Xo8 dzDJoNHqR3g53V1H0XenqnrNpMqzvvEZcI20ZziwOAHqAe3BiUSgMyhENRFPlSxw2JY3kyykDKNP UYwgbOHOuMOyVIX0EZFGxIzS7WZiH6tbMfZU+Cb94k6Uzwi6xg3MkBo5v5Ge/RSXCCnuNPyx9T+w JpvIiucFdgoen0G+mQV5gF4skD2IO/pau6xSyg/KYrGVY4PPV5EwgkxG1G5uFDJX2UYddzrQqtDk NKoHIc06cL3mBpmMHtwdK5V3kAoFaC59GVvvJ3BDg9pwAwEe0HfK05mtTgolBYuEB9Wk5kYLkj2G 8fLXKL8yHbVV2Ouv3hCu/NVD9u8nhkJv63dhP1QJWxuCKB0D/0sPoCPVoAweIBd8eibefPuoIhrV joYhrDOpTAwYz24i+4mBUZjWrVDPLtA1KKMvOgC4CmHTNn1G5w5VA2Bt89bJoKNSYB3RaOrZtpVb FbX79eXV8WmUKysy/FajlJizfLtBvW4vt5DMKh9L5GnnfryzJ63klyNcmXE2cyLlfEW6cY5lGQml XOVuY3gkdXL3dcpaXybcbE4AvOlbNFJO7Il8hOG0fMvIDmiaG6e+nL8pUCK/DN/9T8ALBQPe/ELZ utCMLJtLHKfPwW8Ow6pyNOQM1okgYLkNTo6EEbCnRqj4fFzn/7TmnqOKYNriNTOARKtS8dSZsO8s t3Ojdvo9VtsFhLAcm8iqeOCQ3XJXm7tHzdAqhdumiGHj6EzMyzKx72kqjQSvN1dH+QHscTzSmt32 +okVrnzmb8iABGqkA+hNHhIVJQmOsHZIcTWCz5+7r7bbNl4uysgHpZIDg1QDtlx67bej+5UJGU6t zED58tyqCtp+128P19zuHc65Lr6o+mDmB7u8CnsfkRAPImCzvXfqpiQTuhwl/Yg5+p4wHJmZFE0o 4F+XuJ6apuFKMJQJgf4c+iex4/8/bVyu1kDfrHwwfGPpPBIF/iPtOlIM/956Yna1ewMoGs6oVNP+ w4UvetIexIBw+bObtTvpw9rjk65FrNNwIDJcddPDENNLB/cYExh2V7J1Ybam+CyFchHGenzLcCf5 kgS+/nPs0J8+I3SmljI5IkEadhvuvKW0y+FsfcbM41MBf7dGPr4RkrTrgkIBFmTG35ZnjZHI0V7X N00IVKKJChr1WvMZHt9SFPt81m1wUAAjFi3x3wECyh3SlWnnw2KEyI6dMi8axkGl8kVzJKMe5e/m 1PmTUAyzxonXm1R/xmpGT/JVcxXddc2Y6PfOhNlbcs+yAD0+XwefdXvy70pr3JpoqIqrqwh+trzs xV8Trx/G+nhJ8qVCZ2XWb6axxMERVrrrYqsEHt5dQvw2juMnRmoe6J3s7V7JxIhQjrTC3pXNhroI OCQnJ9X5w6m/h6zqegL3WPDlIDhYyBTLNlQ5bAbxdKoHD9/OzIncVveUzv4xPYTF2pJnO/sJnm5+ dvCGKOk9Tt8bCuyF1/mr+2EvPBHDh1E7P/gSCOkBcMqXdxIB72BD+343Tm8+S5ketuuKGBDQgPsZ Vuc9vV3ltAlb0/GVBvJpO2AMP3Ayen6zhartg0hra4yoh8No/4mdIr/zUKpInvlzHPJGIw5n67SW sAHlHAXKeXRzFuhsDAg9qrhECJ47E6pkanMhDQ6Rx5F5ECUQUVhKIJ8firEbmjEBmIZBfwTC2cdH 6L2etcq3z66TlXOdLGrsIZQTyMgJnJPcgqxQ3g/wqDzyOhvvkSLeEqNs3hg++cF4+SD1GeDssOCH piX6qUOaP7BG/TRDHm7smH+gbdG5U+LT18fr2F2xuczu/JiSh5lgJizKajePKAY3oLaBqYwB6QZB wzmZ3ukf0spkDl/y9tK1NEtqgnzlLmnH/PV7Ce6dzL2iIhhaPd2Xi669LuQb8XYIJ1ugzj77csmx rrpa3/C9Dtj3kI2apmXaALA1ibm0CPS8aRBp2ndZa7Sj4oPvhL1D92bVZDQH+yQe40e/VRaQVlL+ 4MeW4bNx4aIJKO/PLyYyX48dbfokE/nGC+RJO6DZgLiR2g/7+lx2nYmpSHd5+b5tC8Jus9tFau94 +DdNfAijrdnh0Qc1oWDJN0NZefE3HYfHdxbkh/bkHQHQ3eqTFOAz5GjjNP55ep1aZyPR52St0Oex 08e+DOauIHOENUlBzg5SQI1tyD1MTHfE0o1CqlqYnFhfOebq7J219vEFnaQp9HnIhAoT7THVJrcF dPWrFrM09gMoSnJTgzDTQvNvPfFNy8YbCgefXvxRIPsfsTml3080DbcF7LYA5TFuzCEsnea6ntzN dpb92ManLv0VIGy3n7sxJ1Vm5Y8sCbZZXpkDRN30Ol5UAysv81ED2y7Le9pcV076Q+RtFtJnHfbb QLOFYyMti+XAJuvSUTYv/PxG3Cl47gkoOeprd5Zpnz9O8Dbx6ECcapQRi9qc8PUN/Ezsa18xdHAm x5lw1xPX35CWD+SUv8j3q3CBPFZMg2Bejimj1KTjTn5puUt2TLIGOvMRM8NrsyiCHOhAqmMSMnCm bO8lBMtRX891d0IFTCz1bvsOkK/prE3eDHiFaQmfOuZMjRNIPEOu209XxX1ONqBkpGySvMoOc80N 7E7VE+iIxcxlFDLKmjFZ4zCG8unK2hEOWelwB3ZfaqsX/0H+vL3nLoYDEpEKo0rruWe2BmGTb65v nKt6HANJBWi9B+qcn2ECNjXZAh+l6hQemBO9rkQH+W8BMidBaPZWJje8VwDSbriqMZnKdLiCnunQ yjCKtNkuj5Hvid7Q0VkIpUyS3y4hb+8rhoeo0NP4ON+Z179oam+5tQmR6wObYqFoZEzHTpxM7qgA UYCAGyvpJ2QZHDN03cbMtUP9RyoMjCp18opjT+eNA908n+TGDdctoteykXN9NPYgYaPJowftW2j/ QOdXuz4EKp9JpvEQPFLMSP413vBQ3UUZgUwRDf8GenlCdiZTVOpILLLhYQyw6y6opP9VW3eDu7fJ Snje5Pi21VX0oimoCfQF7aKNna2xtboid/rRlnir26Mtuenegwr0CYPEhXX+MswibCQxy1tQCOBT wncZw/VHjQdt4DOiingL0DkBlA78zJ4YzoQMaOWICtuW6R5X2fSZK5b9jPZ7YrLW4tTAqqL6JnS4 BXX41b9t9G9LRyCtY9/uf6vT5UveLq9l9osxHeQTTYYzKss6TmObiT5DHQJ+alh3+QIRCgH912+M p2jTN18DzmnH/e0fXqSpSYQ4j7A+scIWKYHV62UYxO+EBfG4RSg2BNqaO3a1qnlQgqJh6fu+9Got Sn5OwsmDo4ddy6MI/dfuiMY88pI1Bg34b3wpUcX0j99Hb1YG066cCVymKctH2PLrBSlQ57HqDVur 0j29m4qAN2HFkywxhR7cUWllaSU4ZRg7ZhGkTz08vgXKfvFQTARv6eQIy0hQ3DVyHIT5N7kQVA4W 2M7dRK0rPa8kUSYHqsAatAv46dqhJr0KhdhWj9A/s2ioCMCbtOZcfnHnt0/SUQVmiMiLAvRvhicB v1AZWEPY4qiBkSbjEMG2xm8o+Tl+x44bpniKHUDL9sOL7U1S1g1HQ35hdLW+9Y7MOQiMbnCHYbBe tk/NKq5dBXxjEG5tKoDH3yt2P0BkYo94D+wjA4zeoR3gbYzUVRASXCf4NN8lrmryQjlxu11HFXTG HcQjGTXuHKL47/b0KEiW0331HyVTgXguH0jzezK+jsjkueLZ2TfyyHZP7WSy9ZDdGlH+DyTV3DwH 5Mux18HcbkKDyS8Yz6sIMeU5Pb6S1X4SOjh0Y2eJ0VNI7Zr+yhbj85ANgq4cL6aVKx12ZG6aQIqv 3KvdlHWoXWT3a/wCXb+35suiVJELC2sWup8r/6yEhgYUzpjO729WeuEHIWE5zpgrQAL7Te5KScgH WpWjLoqMLbYIZJ0sDNzIOxCmuiwLI3Lr+6EGJRnUAXY5WP/ZwSJ+Oq9eD34eJTBmDrLxxE/CbhtN Ew86Xb5MfuithsJSiO83Waa2DQQ6XB97E20WlEpq0fSGDN4YdLfTLNdU15vMQDcyo3Nfd5KtiSVX pXxBzoi0/fvYOH1FQq3+1zauXOypCB6fNsP/aQhkNy4l+Fp5r8AlC4wvfGN7ARC2OWy13xianwTl HRc8UCqsaAR5oEVGl2dcj8FMrHyRXFgndFQXN2X94H2h/Mg956zNAk52G72Lv29cr4cDes1Ytorr CMJiBtTs5bx4ggwus2/zNMcVfcK35yXtwf9k9okJS/KlrEJXTeHTdlYlE762x7YJh4prnahdagKq 8oDiK+Np2+ASg8prU6KzVd+2ubSKlCI+tStDR6FTQq6kJCQMagrmC+pWIu/NrNm2yxvqCvTrLBz5 rzrMkLJgKFPT6/W3jQTdelnUBuhWehAiYDbHnvjpys6EiQcSMU3+yEh4XUj63yT18IO41/hdiDTa 3MtqsFWVktsoplHsasTsmczNWWcmpNyvoM1BBx5pOflsrIc5YKZIvzRMYinY3WEkUtzlcpjiuWCF EbM7iz5j8IErvo/lQzn9O/V/3Xu/YiEPRrj1xDdjeYbkZdK1GkgS/ZNDNJ7/SyPplZ3T3NF86nEu 8OzEm+xzT1W5bG1525XlGsCfCDU4Tu/3NdKwXfeaDly6uAS7veRveL027kcLzXwExRs/lqMsuOFd CoHGBSYhP2aMTztogH86fyyi7Iyhz6JsMqTiBGE+jdNyeh2OlUeqbD+WsBoVDwnt53y9KD7p4xpD PTUtatxifzMYd3bZrnB12yt7xC1l5SdX8AbweFAByGciWUa9Bk6F6f+7FG64lsYvkp3wDjjjEzaB mS+tE2miCBDmIUeOs5n5FvnRBhEItRzEBSeHruFK+wiKu95uyY7gbjkOz/orj47RbpCfx5T1mUdp yXTuWiniKh/Dshejgf3V44F86zUSI8tJk9u5R9siOY8ZuKTxy+XyqiA1bcPQfaa74JSUFL233zmW OVTZ2jJ5kEX7khRZ0Em81xykDEBP5xJXcE7Qgu5CFgu5S8LKsGErUacLyxUtAU7zVwX1hmQZdkYP MNYTrqpR5fHOdJFa29YcTwpWBqKXvA0jx9is0VEclDQTudbAlEoqpFVXbIdG3x9llLvjCW+zpMTk Bd1v5ZDWZK15roHYkVj9Jzx9aYv/RXIYEFMtopqGw2aafsnnKc38sCLMrTKgv9YtW1eIVodDl0zX kcqw7duUDfRPRMJev28NQbKLfvoD5bHc8gM0B6aVlkKhhw6sz34dmtw5yRxJ2JsrH3BWIyXvluAs UGfJRTVERhFn9kUIaF2+n49PkoczIOneBzTSoamgshpweQ5Xuy4gXPyyjlbJ3hpior8es2lqrxlV 9wYmmLppw0ublFS64lmBPkkwjkVizntPSJlrbBwANSLPI5yTFyp+2qI5XgrtwcPPbDv48ZYFdhwG LtjFyF4985naeFwTsaWmvalW0/el2Iw6fLav+b0r0uAgDS11AfJEWZI1Ldfh9zWYwYeY0LjRHnDT w8SKtEOT9TNxJY3S8lFs//oJgaWDVebZMsxX1RLZQjgPVnQbt/iTWeCzWGoBIIEIho+J+wopTX9A Z6xZa5BKPAcVnljMpXsAAcQVn2iARnPUBR1LH4LsQOYgupzIeQllTP7yEMVQLoZ2TNhj0udVFIrI JIc+Gk2XlejDlFFjvskTSDaDtTFIEb0iY7wP72ElptS9/a2c2QcMwBmRtswN+e2dPP3yybDIRJD7 YdYIveXngyNko04lRIwhxg2blWqYedn3t+fvHhsCu3i16oCRuB8dhQyY09uxypyePhJalRNdYq9U wTe089pY0LEZbyITm+Rg26peakck9ZWIc0aFBtb3Q4LaT5Q/mN46AOG39//vcGG2HBaYYsEiWdM5 EkG7OIKf9mD7YtoPecBQ7r2R7DeDZ9W41FGLOw4p20m8bFOY9BAs6r1Rccc4NQtHuhz4iFjp1lNq Sk+oEh1ar/k37Ceg9PtNPucZJdtmeLVP/gpg6xSfC7yRpFl188DoeeocorLHuCq24n4kyOn5sq/r 3J8xhqZFsRIoLYNbkPRtoY7nbx8vpnbwbmr6etiKtS1tqgfAMk+TI9HP2OD4pJqqSEmsDVgfWGXp AiEjpcN8reexgPsYw76C4nq+oAJWY/Lvlu9GC1GRkT1jV2/OHs+/RvJPie9FdgJnQ5CldsXIQy7w O8N5PbsDHDLxMzhnd9Fh4Z/jYrLFu0QQ7fND/brMEDYDlqV7dSmxDGx8dJo8RhPGbXCuXOLY+Cf0 F4SiF5nRh8PgShiiFadMnK4zJEtzHczi9RbJQA8x8G7lY0MXdhYLrg7JhIHAgWf2sIcTnL1w8CGO UazO/GuzIxNlh4rUgetf6JhGiGJeTuAyTm6oEan69XUplpRTYzoeqUL9tFnWvhpZM8AXFl9P0CZf tQOnjTGWcthzOrxBwRqyDCXBNVzgOs2TXmZc3LIVDAdpj70odc9DY29/WB9snx89I7lQ8m9gMsz+ LY1Xt18OYg8qk533jLsLgiZsNCC19ZlMZEktV4yhvwvhIBsHrm5X987ie9Ob9509orY2b+1UUv/3 vnlCMBoMnkPADePiLL5Tc6vU6CktrL+E0SIEyxzJ++B5j4XPc8dhXIJmKkR/3W06lcQlnDV83KkI lr5DzuKlxFWyXMAHzHRC8E8/tmJoctTT/hG+c1FVLAfk3ASTkeBb4g/cGtu4hrr6VZY6fQa5GcF1 bXtdbDcwCQwAX9QPXKBxklgnTeg68XzMbIoaU75w2P8ktTeljxBgTYqbOWSmf3bkFJHh6CT+H7nC L2gmjneY1zW8R0IZLKBXT14i82wAjJGphZlioTxQOPfozQQhU4WbZzjg8W+dy3+88jlTDmj3qExd mbrQ6sTroxREcTD94D4pbu3K1keq0vL9nmoD9iU50Pbmg1vO2VTG/m63leMaiWa9ugtUbL5aRCCY RQkQRNrykhBzQUH/mlVQ56L5aTbqncYX+0FrfbUrAVfq3rOdcl6J49ufnkjvNS1RGp58BLu9c2Hj aBgC918FEQ/n8CZLJ94wh18vLrsD3m2JMbhAo6Vvb1zjPYKhQqRAdsDcjINclMsv3JlfPIqEKtIr vS/dr9TqfvJCmAXHyQpWRbAtlMNXalCFI4QhX7RLNpshr0YE4DY7Cj7R/4V7zyA125txYndoL4rH tFd0Q1EyFx9/gzYyDjwsQL8vRMuqF09CcN1srdDTXELMdBvpSQV9+ESxLOrdavGBxwBbUlXi2s55 Ma4no0Cwv4BE0lUPkjhtMqTZkVTTWFG67ofqb1bHR0iONP9oXI23nlQwbQU7FTtwNo+iuvFSD0N3 F4OAASEA+Q/NIs1t6e2a5P7vz/nePqlZDI6il76e8IuQVP51VhvEaP72xxvRv4UTVnraj+Wy9O0T JA66u2slKyV1br17GZ2hUGTA/7kMyaSODPct/zroncJaP8Rpqrj+k5joKDcPlssoEicAMq4jOGD+ rbwHI1iD5TbMS3OASkvZl9Q9D03LQAS5Evac0uyCnowg0SuUzg7Mco/HrU0DXAtNBkiydC2I3MAu sL9NFwpsVuSY+2ij3Qofe7SWWTWS8+QZrEoHFI8M00EIj5jjTdSlpE06T4kgCDQHIcoBgoqyFjdi 5qpQ1wJOj/27duqmBOv2KJ3kY8D+4RDnwic9hjAJ6NT/YjTsPEI1O4mTqLZDEkFLJ8zoDVY0PNth 8hTOoiuClFQ0ygzrdKbE/M04ew4wistuuaB9KF8IVewXRVzqL/BXMCTjnGmwxLmI0tIouvA9/jgt suxfVbckmNCpya1UOnuV41XC21pG0+tREDCVUrESc3tOK0S8Fv9gd2ORpUoyrRc6UgJfoPHUmdyP CpLi0RTHfnuhUJpUHx/V/ZiEf5fzkkJcaQt7esBgnOVUtNcHkFPFNF+EXPViDt2rBVRMSRNWgT2t WqlhEb4lHO+yoIclrDCzuYq308Z2117OxbBS04l6+Po+O5WsimFKVHNqK/vtPUFNdJbAg6ztYJdE X2eOeypAoNbraQ3BUubcuomfgiOBv52rQfcQNcagcqiTq/KtLKggxY7wKDNTgmXDsjEeSd6Ak7gO +QiQQUtn1l5VHR33wm2uYhlJVP0cBmww7epKTET0AbizVgDWQeFOLw0ZT/twqfwVG01PB5NAeZGc rTrq3Cm0ox5MLw+h14rd2eZrBozA3aslrJA9HS2xBjvoomBo8vcPhj8Vx6Crw3eKeb2vCxdAaIJ7 25X9Ekz1tWe/7UHjYSbdkM0iUXH7tS3TR/sXv1a/67qoIsDHuFWh3C3Fgovu/BjQLrwDYjzk0ewG rbARSO3EmaBrItsGBrcYf9AcGp8eMkNF02Ba30CsHrSdzZ+T3bkJ1wdevge5/h/rKoIIDq29eqpt cbkZd/9jzEj0b66U3kw9ImQt1r/5/06dKf9FV6+K0Ic2+E7SLqgVh8hobznv7ffRQ4Ho0jdFDuF6 gI6tnVtNkBtMkoQiBCA2PXUJSPGaVrkb5xXl6k5V9n42Hr4K/PmV7R2t/+hnZk3y6B29wVn/B5an CxHNMmkLh0/bk503YygKK7TKvKiDBLjE39MN/fjslOBh8dgYBXag8JZ1I7rONCV2jRqlObviBV2j WpXq0iEqv9RwWLFapwWQ6aRvUakSDzoruy2L/5WIbrPJS4RIrdb3/ti/mdzYuXGo5a2syvir6/yi wmGcOYPG4IQ/1PAPW0BgDOjS19IlwgXhU3ImLygrh1cD64KCQLqEwV00UEU1UsIUlpt+DcDl29HD 6smGcaYJ+GyFQOGGX6nOLxfEnimuTb+iQ6PsRtqWYXjk5xjWPiC6976uKBrQg0Sfdnx8Yz5GKLCg 5nkk10Xt+mweiKr3vkEfjq4zWW3F58WGH++ntvFq2C5FwzO3zMSKpKmpfrzdC5BGJrv38sWGTiBl RBrZCR/ITDGXKPFAc+vWX+nYhsEKlck7nEiK24gw40ZLT/7yutI6DBhjHlqP5wWL60YMvkJESGq1 lkH/AjpXVEZq4qQkgERfwnyrgGB2NP6C6kJa2gMOSmQc783Dt7x/5In73P0YB9lqzE/87MtC7P0S UKrzF07dqPWTpkT7P9MoXcIO9mvnRttTXvsL8kpi9RH5erTu5qHmQFZ5M8rIynMCHQ8N4QabHMsG 0Kfq9WkfDmAj98SUNWREH3HO1fdH5R/M5dbpS+YL/lBeHPDvufhSWccjOHfpjgg0cgQs1vbS+4ei igGxxyJJvbqsljTvruPdnm98f5bRaLJt+uvJzqNWjJcvcqAV9BgOTukO1KtHoqq77TOSFfsIIR3G f+5MjCoHiIv4JiTreV67e7INFWWj40eYtZJ3MEswOWe68j3KBP2g0Nhu2wL7pKFSLxiCjlse6Xqp pP7AuwAMrW+mpGeeb+D2K5awa7PAJF4woDgdsKmONeNGuVRfK8wEaXOnzPyrMWoE5m+RG5si+RWe ChHnVIq/O6IE3kuuNVOr1Pupfz9fkdK9yKHVvMvdpULSAwrfwX8njd9JdC0LTJSVaXkchsrCOC4P bR78JUgU6u+cZc1iYGDD5xU0TwZckIIUdQcG+y9e1YMcYmD3VSpyWsJgRfmKSs/NKTkqVmdBZXD1 lOsJ7FCip61LYtwIhIGHgAIk0VkiK4nVR/03LzSn9nY7WDiQnkfIcF4Y9DyKenNqGIP8VhRvjbjY D46fHryxJMQ6sY3+7SSG3QYXknCKqITepZZv9zbgpMPFqavIFFuZdeu02+LSQ6Q56jll+uvzf6Gz CqLuf1A1dSTi+g6EoKH3t4pQsboQsdjscYNCatz60yvbPH4s7e/VZE0xDl2OVL+srrs0Bpo8n38r Ulmt71/5G43jGNI8iOxy0v2vl6mUTzZ8szED+eHF+xvlSZc01ipDpUht0a1jCPfxstEWml7iWDxU dveKwq4r25+VrCP6orYcu9ReSPMMblisg+FPIrnbmDgjhLQYQ2dvAwu2jBYsPZkrRcbIbiRFGhRA cntorgLXMXN7LmtBysRbLrz6R4iGy/MhKgQIqt19cRfS8RFy/298dyFmhP57P3y3GcjeU12S8DXs epIgdhzLcK7lQpvyK045wkEdfTFa8DskBW6Rme5/hqzhh3tjveu90TLedXNgEKvwdiETcbW70+d4 3oWAavtMIBvzBgJMJlQmw5rpEzNlpwqSC0/GjQbwQdP0ghiFHpghogIh2oUP/ZVjqS0hyld5pKXj r7CqSRC21Y0NQx/U7d45YmBWpSxTnmv9RHJlktrXcK9Z+htQwgh6DRLiZk9G4jMRsrZkgd2Tp+1j /uXbMac3vCFjDhBF7cstMvOFbPQBUyuWze1FfymjWPK9Fqp8SNBgfhOozREJZdvm9KFV7Peev7nu X0zeiL1UGhZLczUWTdBhfavw1vQrb8x5MH5h6Un98iQL062jRzoFZxnHTSMwrQK6Gg8Cmfxfd3ED ErKGEqdLOQFqw9KbTeHEk2gLtb4tEr69KkhCF989cn9qMS32Ij2btrDUvQ0Bgtqc0hvD8MxHnxxP auuS6W6y+va+6DMTUletoi79CvgY6ZJ89zHluLNgKzekxnn/oYLVyPZ+n99ZakCIrU48F+V2f5Gm szvKxf2hsYmiGfbhtvCK7Xvu6wsGkTNWJFxV0oaXRklNezXYYtkYAEcpopA2fmrXVzzK7/hU8yNE Zf7L4ghMvvmetvHjPsq2f8/eIqWeRIB7sJy+N/FA1amGX65AYSQ0feUr8FvA/hSVaMKNzKQpz/P0 UJlb2mA0dYM8TdRyi5BvO/hZ0yUBKjVgHbYiKCQBWAdO//zmDrwiJohn0634Cq5plcST7bvZPOqM FyuuSrUVpnGwHaSSyI+V2BVecLvrxVHpmslL8uj2KpKvLq8HJhnBEKy7OKk4b5MY05natS4woSUQ xoTLb/Pj06rOvQyLybqoQP9OT/2BkcOj3QGAxTDAIo1yCixLpMaKGNTba0IoX375RIc9q3yfRLY+ pw7DbSfgPqe87rJyT3O/u6ANrW62CptFxQLQt2sRawdGjsh09F7F1GzNLv88JIBCaHuDaKtitWrt zHEjFUNA0M6wk4Gb+Y8zK4zq/saIAGsyBueow2FxqAzW07oc4fYHGfkO4PV4SrkzpbsJYd9o14cp eM8elZ0p9+pfjfwkbyCZkF2XhjdSOtZYtTY4azAikI/0lBC5Nw2CF5PwGe16H9nBEzAhGJDky7oM L5cKAvtrNBx7AAT911DjFQ1XaMKLJ0NhIRDteXJ0oOhKpqn5mkYMW7O1/r7iNrC+LQkSCKHr+f9U CbrocN8PyZ/4idrSMCDiv36KASAWvYBdcSypBa5IDwLIL7Fb4dLiBRwti2VmrEqA/MuJzPW0rTeL YDGaVwAaHmt2ywzLq8vo/Qqig1P/w1v3uWM/svu/tm98mTHmdFiNwrZftbnaQ/EJ7fuOtaWQhUCN 5d0XLyS/wf4+RGwG9iTFJX6P4iebqOuYs+TdMzU0h3Byz4sDUG8nLOJIcup9FKfv3VHWZRdTCL3n Q5nI9GwORjJ8kfRThXZgH9AS+9Hg9hGzYAMWDdewr9tiQA2IF1biX5/kzZXoPJ+ccslq27S8jGXb ggNHNVHOWCmpx/emZf4+bu3wc5XuuDS//C0hqS1c5QIjdVh5AsIoLyp/r9trDiHwGDLgdfgdA01y zALRuyOk9c8GzdEo/i1SwTkhRAj/lxEQNiLR/Vw3QDdhtKAivTNwpKeSyaLSIjudURb4gPluxfzz S2J9lFfEUYYWu7Rf+IgcGemtA8+/Ueh4LEv6SaWMmpkz+Sh0LeIJDVXaOVQ63K9CobJG5+Oe7PFY Ads7OKtJEScqsWSp9ofy2AQJjo2NNKfTbqB2TLhDk6t3NDaG3quVU85qkQCHIy1XGmhed1TbIyFo ZexuYRxDNB+BNkSeaIC7Va+NX314eBsV0ER2oR1s6IH6VFU62hzxE+3Ybc1gkBnrZbyL1yM1jOkT CTOA6E30HJ1FyCQTOJciVWytIk7hUMUPgzhnPoIoVPdljZ292NT9s8h80JoeCITc38XkJtl/SaHt w6JMHlOlRnh1c5kvp5Th2zGEE18mexT4SJxKMwgKrF3EqdG36Rp1SgaJ16Yx77U8LeF3bf/h68ub QirG032Cvaq51ECF7Eryi6J7ruiwdQjdh5n4rbTLJo6yA70zeqcOnTYmKwZ86Yby1I86Gukm+X0E j6mKqgfiTEc3d9kfXLQv1a/OUji0N88Gc1CtIiBDa09ATcnhZHiYZqyBFi/ygAQyFNT+BmVMwyjS hOCfNpPk6e5D2mSnXgutAUYDHRh7UbwxOWIwyv0yKKFY6lxy0N5c246F3MaXkOw3jL50I+zH3+4h fct5RZDpsnbYD20Q1ONa7XgRb8P2JCX2ZQ5qxgpl3tJmGSjnIucjNgymk85KwFml2uRg0fpHQcjS Zw0SZWdlGgPwbZYkbyVn4dP/tp3jWqbOV25m6iVV66WKoiOzq6KUDYfz1QzL8gFaPTwpSaNs2Iut XL7XCYJeNdhteQ2ePQrV7dKVk0+IcuRxt7zwJp6byAvmNcGK4VCEsbogWiiqCAOFLHl04c95SyDW lKc4r0taeb3ap9DHM0GWX19fIwvRkJ2wbUSz+0QBKgcnpSp6Krfg+LjWhbJcinPMo85cqJZgcbIm Paq6ePmXUzpd5su6MjAJDn3Uf3RiawBeF53qLmhWI8wTGUFFFOJEpeVLUcZGmFYDAiQUbV4ENAW0 ufa/J5LekOJDlbw+A9AbZXIM7q5fOTQEtSMlkIH84mghuCIaMKeYKuXZAsA6B/9vtaVez+G/8Bhy zR50TP4HUUXgXsa3KtUbqMqgXcJ/jJaS3VqCibrBZ8JwxqZeqpGQvrQLLEAhDZcJ/jdoDUSoDzT9 GAh6lYm0qx+kB5+f64ppt5PRrEY7iTfoKL7tviRZh1+FBUZpZ780vUy3KGRL72V1XiSygSHjog0w ZtnMPShBdwOE30IJMnPZCmDygvlgLev2kr/ubLMdpAGLS3h4fooDEB3r/59CdMHThH0y3nt8Ers+ tVWMPJopC8HGa8UiCS/3eejEe5F2q1d5hvFiPSIzZMqsCB+XHNpiSdUSs6yF9djfdEsvuKpOJ0RH wc7Qv0waSM9240pOkTeytJUaWOKxKv8P7pHM5i4TLZaCBrkuIF4Y+FZtg8TvO/36XFGj4DHoRPvL YQWY2WZ7rW9tjn3nvhpqOAeArE7oK5MTm7AteGFsVwkJmBm7dg6iy2F8f7ymH1M11NjQAfb/Muht KhbOBbgtGY5bZR3YEYsX+JbALMLvkeL1P5pFC4wg/FEzWcKJGsYI/rgkGQGQhWGxntRUBR3fELHk rtxNrwdrc3Z5jXVs8TvvdkcFPj4mcnxjWYjpPcuWws1rENbUcSBXppreo+lnsj5py7wEtj275Vpy 50zigiauiM2kSTb/k+cELTycBP7RweAB6sntOMdHeU1pW8ZSpIY3mwjHwdqWwJV1MWamU5WOxBoD bQM7ZDuA/ZrZz7iZmTg8yGtG5P79jLUY7ek7cHFCzkegq2GbJRIjBVkbVb2Wubdc8F+aipHdevDS 6Fk5eYyuK92RpzISBXnNNgovJMu4ig7WMrwSr8IkraeUdS58Xf40r88vKDt2gCNz1UG9wInWkrb3 Ch/dRV+EYNwN2epTfO87jT9BramUhEmSNvN7Wd3f2YG4/vubKBrlQjs94e2BdAoXkFS/h4ldRC/r qV6MmEkRRtRbOLmSrAh+KLxzLxY+NEZUdbP1uRGCw7TeQlO6Zd9m4CUsOk+vkXYcWsyZ26YKOAaT +/CH6eVoNJJ0JF3hrNzqRIp/oLaZ/jlAerzRlXDQMVb2+4nrsBdDSQXPVHhgxYYKEA+O1R++naC6 WsQh/aB0sNte3I3QLzfh/UzmrD5wUP4pO+Rxlh7KOPHI1G/BAYrOFeDuOnZp6idNIbJAMLaIOdmv H3E7NlFXQwHktQm/kw8Ssj+rsxm7UNpiSht5k9WU9BlULYi/u79o8hYq7OVwRDyFuaCCGL0jb5Zo BhJGoMPJolckxUS7BbpSdkEw49kRTwhncBJdhAnCKbpkbfTq2XoiExT3qjXCOQGWC+ZqCn8FbTot G0x/O/tL99Kwd9uMK2BNpazO2Aw8AOJBt7HQGRIOP2vYXcgtrlVVBvtXr3y6zDwlPZLcGRcLhcZ/ Cq2nvZBynkIcWq90U/iLdotGCO5+DLSFwlfBHMvyZD1QVJIx2f5nhZgHdW8U/4A2F97hMzOEX/wu /ZcQArnYICczb+CudjQXirtnf7EEmn7nRVkwUFj4TnXlA65loWxcZ5Ov6cQkfBWE8ZcKuCxNZSKX jACn/ZAqPOTh1a64ydwvd+TTDChwnNEe9yz3njBKQiIcRPFN5f/1ePgia1+j3Pkq2ovhoEndI8LL v/9r9SJnho1/V2ZnPtr9MzzunGVZQ6+5QfjiKJPuWL4rFaRg6FHoZS+QkoY8YlmKxkVVFVomcBGy ccmZQ1n8DkRWShb6Hr2h8xKwohJJdBeRqzEktHJaunJ16MTsB7vl6L2mW/MYx/E98RfOrbQMeWCI bkMaLUDBfujebm4Q80Xbv9ciy3tWTo+b0LspRHbWBYnk0lnaXqJqCKM9cspPJS9lSwc5lADDethz aAsr3RenwKeIcbr/3xfbdY6bd/WRuiTOz75SRlhovbmnHPb2/moTCY8N50QsSsSTtmhrlEeh5mId akManp+abbb42DEvWeJuyrg4zE98L1m7n9BW/D0/dL4yncq5kTtfFZPPiWKiR/z+SzsLU2VM1Fs/ BwNHuTJfPQz6CDdCWrr/Sf07xlbfgMik/AOgLTeDEF+gfGJe2xNJkkwqfrS8shN5Y+wa/jKIgg8U I3LHPdBpjtGj7u0LXR753X7N2US+pwUEmxvhOM+otG5Bs2uL5Ws8wNLO84yxT85kweE76ORV7ruk pcy4OKAOq3c4Jxar718F1ZD0PETJFTBRsTlPaYqQS2whm/XvDxrEaFsG5TJwuqY4Yi/YvJLZMTey fuHeK59L87PIpiafnb23aA3wbsXk7hcx/O+z47BaiQSS6BgTLMVogK7ONvqHQzlmA0+B5q2c/7tl 36LpU7Ny5J6MdZw2hAYqJcxzc1CzaUKTVBgzYn2liBpg/wv1ZInSSWyIoHWuCwTkUn2ICHKbHQZd E1MFlS2zOa9Y1YyPwFtKKb7ljQVugJorExMnE5/Uo0O/brNZwGxHS9wUNTlUyNaUZkp70wiA95Dc D+GKx6jwfrPidEpEq2r+IpnX4DpVKuc9CnVXg007sUU2021+LlUhl3V9wDPayTAvF5ax7JgeC8A9 dHHO0qLyQ018sDwD6lAEoLoLV2D1qfb+m1E36DMqVtgVD5b9Hoh02fCO75KjC2rsk3O2fZ3WMBOd 420Fcy4oRQ3eNgvBGsQiNsHGLiLDEn9uuXnDm3qwpJzm74x1+9nfzT8ZqfpUY4/okIJz4HaHIGVW 9t11K3H0YVzNUPiyYDzwM6QOiCN6jlwAIBNw86LyZk6dTg5MRmB1RrarKi8YFkm0Wjl0DO1/AHL8 wbAEnnh7eOTeE7G/O+a82E8S+sZLNaG7kV15HBX3DHnBYC7nMCoGkaQLS3eax6pDv/lCbzrskL45 nhaCnJjcQXPNFyNh/YVj9Dl+MzlwMJ9Jas2zSNlUcDcWg1HuRHaWqONKC3PSYoixyb5nN1gGjQt2 ECZdaBXGzKzfd3dHQyN/wyNaV9vV/R8C4cFlzS8M9Lugu77ykPf9oXaC0TcQjbkbqKAxf5WUC7Q9 /fqBo2iMSvrqDKWQkGpVgiSzLmn0+zm2rubHCg8RuZAfuauy2QJgulNHrcO1EvOscYMOKb1W1Bls 3jDw7mwqRypnT+y2phpT2jsnseYAoIMqB34+uMq6pEGqlVGvs+/7Cc75GDzGmLdDOGFG0hHV7GEO QMH+4mnWPY1Pm8aEyDEejIyQC2uzjpMURomtZedmDJB0ayxUHBum5UXcdS9Z33cYAEj/dX/HDk5d EbGCTqP+zpHGAN6fe+tUQrZQiHOLML9JbzNG6UhBZCIZeWwwASzNF4PZHt5be1LWrk0JKuGLuZt0 pz6qTkDRgsaKdLm8eJN48KMmbBYbrsDQV+jbIBrB6XrRnIOCDlOy83tdVeYjgUiSwm6dM1SOnDpr Ix0I9r4lK+tP/Hoe6xgA+gzBQi73HtjNAVClYT0aSG9an5KzXuchxRxtaZDkgBro7POTiZiCMKZg PtB4dFKSSiYkHfJD2mdJ8lWUD+n/oYn7X8yQ2tlDo61roBPK7VPLYfNoQeMxC1+ZJ6MjxVIpZtvj NGiD2Z0QC6+1rWhkffTswt7VRw2NCPFhgVoW1B782yq4IR/I5ZC4p1zVT6haj/su1XNHyKmN55bS hd4TM/ubE3u3H1p9kDQXpcvtmf7gsWjLLZSyxBRj6yRDWfdqkA/IDZ4iyGbgiSb0/djv9muEh69P IRBZMmkQIprP8/CPpzYU9dYsijYIhEx1ap07EoWq3VWhtOjj0S+9Gp/M6cN3CrT4/5/6PwIspYa0 vLs+DsKdCr9igjI0CkeDUuTMC99Ejh9NkAzlkVW/oEB5mvfUzlhNmS8PxYN96hejNExLY2aBdxm7 jfKJZ+oDE9iDN3lDp15TuK18vwSlSWOJHaGJqjd0Nxf0SZxE1R5u9xWVO//K4DFhJvC8wz7fQhhV oI9WDLhBhAZYNar32wVI6UmUC9hF8BErlpQEnl8N5QypD8AhgZAur9NwWD+dgcIB99xrese0Z1Xt sSMOlgPk0I9VGPmrxVffaDh39LPvqvaPEeX6EUuckyPJ61qgj257M6Daxs/uviYFEMFN0U/ABPMk LvoacT+deOEdaNOhRYpXipWS9pe0ICpBEv7OdFGAOxlBoIIPU09c9Qd75h5xxbvKua2RpQPcSsz2 bbB0qwPyRNNSWezd+Fx5EbXBOVxfChU7rB4Cyo45KKzfoeqH0CBibY7ht9tmh8Z2e8RLKFiA9tAi Fx194TgT3nU3PvP5iOWKlTJ/s0ZVA2r+PI4/wOc4J888TqhMOSCmRhB2aQ3jL0IllpdGTKf4ORbB YMk5LBHf6/BVTHHxOgj4TuDD8n/dmFUxOlkLjm78kBZfcb9CTU6z4PUl1YeQH6i01h7IzEV28AJ6 XIl90QsGNc2b1oKrx/7p9KdjPyRC1UONrst/CIABoOOmhzH+jhvPPNcnNFGVtpMim2mqIzNwamb+ GA0eoUUe+fRyrpvXCHaPcKEck7a8F+Of4+I7F8wwde+yfynqcemVY89TRLSknYBcMDjlDKysTIna fIuA6u2GlFKINqY6IeEVKa/5zq7Nwg6cxl13rZmviRdTrzRHwN/nFn+bvkr7AuWN9gUt8ixW9Phv 93iaY7fgFWvMKdtXHNWo0UtUmiaspVXPfB5iJ82MAGecpjyiLejBL4FyqV0vY2g4vFqS4bQ81pas y43+HwUOH6F4zu4FGCwf3Sf97UuSCXZWOMaFJlWTiAw9XW5QqnJWpM5I+ngUhcomn6mtB+hO827n 8yyr1XTuvYhS+l8ZdVgpUDMS/kpd/ycQfiatrkhpifzC+YKwOqG9ASCEKeZf1teHwf/shqzU7nna bjtJPXW7d+M9hy5HUY2bc7vbvzQwkstDkYl0GOiKOMe//p5V2hbl8leGhNLjg6bCAndAmZqCOKXR 5IA/4G+G/4VHh43JGorJPPYpfVhDt+LE3bq13aFLZ6DxPYAgWgB9dgjNLDcRmUclUUAHyhgw2DTB nq18TpaMJVu1L3N6T5aRNLDbQjA+9ensCUZYkp0PJ2EZX6yIyIXiMLTz3zrI9eHlfpXZO/15zu8g Po5fNbu5/oTuJpgg6kT4iCMXIPe3qOYkJ2pjxIy3fBKaum9J/1k4h9AsMOAylhOv9h7iUkk14Yhu K7mLKa9VVl7e/iiV6SH0ATLM6kVaGRgoTcg9pCMscrpsTJMAIbZRN8iHkwmSoKewOJ6AobWtQVhw Nc8CFX0MfboHOd1bxlEclyRCTXf6MWlW9Hh8PoxDC7mJx5m2dHHQ3LSiRqyxFdS1fqFqAh7X78My bwpNB2hFuzblz4NywcIwvflUp8t9t+1iRDkvYhhshcdwghlNE+0CX9IjiFJV2j111lw2O5JyCNlc 0QU/9nuif9NNcW98CjwkjmjWtpumf5B8ejK0XZ6ZfJKQ3yCuIUa3UqaL/SlKtLtxXUNv/KYnVwOx TQMp/8PYD1cV1EXEb5o7WS7/VqYfXuTn8OFsqFouJG0xmLQWrZPN3RHLGzLNQPKlFqoWSmfzgaUO MI4xUU/x+rmh03yP+r9jXERw2sH6FIEzPDfU7y8MfcXvxyWIyDwp8U1Vpvr1ZU7EukmH5xEzT8zH QhAJzzYmwUOjQT/QdKqXR/el9KpxltNK44G3h3Pcgz7zFYpjWgPC1SU70haXgH+4w6P41OOGfvvo gungvkfnEaRs47zDJB2FvzAS9R7LYWEwKuPwYu/kftHUKDISboiFzQIRsWaQL5pG5OGmrcFgFCDB laiXdT4qZ/icr4vQwDknsxgqCaN/S2n+C/vV97ibR6uk1KdU4PJhx6vNsifTqoAsrhCHbozWf3QE eY//sUxAH4Oy3C4Bh1NN4cUsVKY6WIYHzcRCw8oYFE+ZxuBxD6bVvQ4ZO7sd+EkcQQSbju1Vt0rG IoqnQuVJ+lGhFrrViEFlE5B2PwdkCr5DvRTTiuRb+PNvT7108DS5/3utEVxQHbNSO3wx+crjYA+1 23u/4Se3NgyANpAmSGRuvZ/fpM/Ic/QwAz8lmaiGcMUG+s9cyvj7acQ6gHFfUyP/zxFG4VHLU3o2 DGSLnmyq4L5VlyEjf+bx5rAyVEInGx2tyWvhpobGxnxqObT/tWja06vyLKtPqRW0/I72bKlpk9b5 Rn3K13E2tmNk5+TAjPgMYmH30uBq6wN/vEgwKLB92ziIjyG67i3YdGaMPPL2Qbz+mgwQCy0EmCuA /FKE4N6gjiZG0/RjojJygQGokJeQZ3kAmRgM+OxvBUIoPjIshJ3fkJJxnQc+7YZJXkg9Jv0P0Mjt TCkyDpRXTcAq2IpyRXUcxkRL5PR1+ggTKcNKt43F2Mva1ZEHXe4wcuCbYmnuEXR1ssfCPa28xv8Y iv615RFWC4ktTVzvA2LB3tCEx6mDI/0Y9/PzrDAoD8C2LJ65SGaa47Tz4M955yqzNRS//q4OfiZ0 RHsbFTWpCX43TolHwnvSa1g/zrqKOXPdVZJottk7jl51O5G8z1BEb+0Ja3o5pV93fLgoKRPDe2dq IfZCuQaO/YHu/aMfCRs7/cUbXrOxSyu7KHGvAzDWg7nlNg9uchPGKTZ3o9+9wn1JfSiMMhTI1z1X 2M7fDlgujY3TybWRu/c/ErwSPn4n/LMxB/wIA9ZOdGlcNYhx7ZpmiZv6BF/xL3qkO/KgfoGX4Ndt i18RCtDvRvqhYITwf9OItJfVz3mEXqUJb52X5Tblhu0la/JfZKCUiFPLSoG9OcArB1dkzlGeYIk1 VxHc8cEiwpEf8hyG5i2uqCQMfKoBMneUjddAbaIyMLfeCDab7n2DkUOKcDIsLqlEgagGkydsYyjo sopBvdpeoW73p+QVkKlVgHG/61N62cbqWTfT2ZP+sa6ZnOcIF//1rpI9KLdTjEOT3hGCMnHnPWIP ntvxzopjvetJka6Q4F08abz59tVOdZ7D37Pe/CLWMKFi8VG5ASxmPwtyJUCxFxQAjrcm6R9DzBUm tUQVq+h5TTWWDeI9SflbYm/x5RYpYzr6z6xkyZ2DM9kUCDydDMu3lj2mYpLAdN1uCZPsNJ1wKekn yi+QOjeXITYeJKn+qELP8Vl+ymC9o10cs1++A6QnHd7axyN4Upn8Zws4zFJvCBaS/qYh8NwcqjVG WxOZvnCFbEz3AeXnQCTjVHlBCG6E3JVp5dHwNyBB1lISeuTQYDVUIw/6uwm/QduyF+phpL8AaGCd 0P35lZGInzYCQ6gEWlpSl8KSK/xTFHpOikwZ8DysVxdrlObdewZ1OAAh65p+BFqWLfexPASpLhLk iKprKOZS3Y7gNM4uJ1eo2k5PPmckPBkgguc84DqB2EXMgjbwXPwWRZhgqQkb2/pMWGQm6lDZ3J92 /KsPdmf2SeW7uiM5jLnr2gbUWid9s7oY4yyQ8i6ryCNGIkmYBMcJTPlzVRM5JXQjKINz18xOiUhK sp6Uqw1NstRJNyBwsYPJgTr8y72U+apsFBkE/SWxWv8bypxp1Kz/kg6Sn3/W8BkkU9uT8OURIKfu noN+77SDZXQNG1+DIoUnwQUVOpawFglG3tX2sdD1trMjPuN+orhFlf+KICRQd3J74H/zhW1ItEY8 ZoShgAzDBkFw2MmiyCTaqsLrS7jk08pECZSbgvP0M1uwmW0OOFlCE+5yX8Gq5QHRvITBDZcLv/u+ IimjtOjC4dYy1AMZANYjcimevfvKsx5DOIrMxKxskj+Uil56J1aet5IZp00UDugk+O6GXPDB3h2b 2kP2HjKlBLuiIG15CWhHEAe6sTK/tw2DqFuo6DlCC1ynPSGoubAwI1WHaTuil0BsUnk733OyPNjJ gtz1Jy8s+zDODx1pzSbbiT9QqZFkOMA/IoEk8RFkagryWNoW2uoUu3rmT/0iPzq4A1U5WLFqxNMq uz4TCEgyzeWKBQ3Ux0PeKg30EMqHFTkG3BeCBXQjRQlyH/LflcWsAVwLj6iyqWIJmkGPryyNmSa3 ue/LKG61tUpiSCKwyRnJJIQxHdfUMjGAPboyVdBTVAF7EGGAdFo30/1qXRBP2+aDAzhNydfPabZI oUbaQPsRVIfgtqt/wqxAHGUc5xccC+koH4e3huCx+0Z00eW7sQFbI+mIlCf5dWdZvsKesj5rYoZL oCwxyBI/klXjeLgDGxHkQtWEgVJ8Q7oLlkTXOLQrZddGUTsPpgDfPWgr6mxzg5IlIqH7qx2IjRLI qCEtb/hWtvqjzKIo3stBhgdZniXXxCbIGGmaYq2saNckL9RrUbH+cUHPVQUaBWeqBxpkxvGe2HRT FecQYGsIV09PjWkAs4XyPcU5E45D9mJYOXityTCguv1JvtOemUlQXwPS7lmTm7lf0DH4Do27GzDf nkXhwSbQz7vvojzYQVr6VzTibfimE4VlKaKeXqAwa0ZItVnwi/VtBMfaGZmonfkNy8WlfFb6LXSO LQPQ75zrLX3BK270InBBpq3vs6miDhrpLSk8TD2ivgncT68wThIljIyr+ZxZBvrBEmYDKM96Voxw Chli4LMyTfDHO/RjQuJ+VU4/K18smLKFqFlHm341UxprutMDiKwwt5nTSYq6Nc1S4kjEUSEmz/hl w6LMbTDJqBFUotIAog6UUCeo21NwyNF7mQx2H6M6oM0P0GLbD4sNCgaTObcrePxF/oTlviySl74f slA2ZKPs7du1Pb5qE8di4zcDIrt3UT67Dtjp5VpMO7KBPwaHSRE1WoS5BGJ04W9OALwkRhKLXQqv /hjjOP9NvZNlIJO96YGU2+Cs/LZnOLM0CNt82Lffwq2W4ZVKN1EghiRXTwQZq7gtCMiU5n7MXFxI xU4mf1dMj+WVwVCiXASu9zoxsyyvAkB+PLdD6bTmeDt7wwQVVhw8T/pW8jeMnRZl5szAwAscuZIa ycqBC1UETehJFXUp9YMtbENOLP9kmT6W4W0RJUMje3bB9d2XuIVbMi5qclXOK1J2X8T+rXOJTVP9 UQUTVR0CNblngm4gP0rq4fsHNml4r/uNc6HM19Iu2BEZVR7Vba8dAeGtWylXLzE7gPIVECXoR6c1 z6gq5DxT2dN3KEp/qzMzV/mTZU68r8wkq4SeHkqTISAr73FsyO3MDzHp+11l8G1Kf0VFEWID8XM3 ny4gZWQrPCxiWtSmZRPMuIyiJxn1puCV1qbZAjN29NsBMQlCekWqdGul6wTWKSSe7zJZjrc5blJc XyR+YCrqgVhc/c6sJu1FGLPpfSO6gAc1zkHQ7VlMyAtp1eTXG7PogQaQjExgAOnVwOyre8K4aaDF c2+kjHlAVULtzRfUoJ/uuSon+aghE31RlKuaZ36KyoJi4xmAFuVYLh1ZrFggMTvV6Gv/fnpcNm9n MLQYvdW75Mgwkz0dGJnMdHAIFGWypdlks+okeueF9gFhGnVnkPCSbtItE7YBvls7Lbg7d6STP/u6 1KO5Pbeni+wehEmic48Qx6UlN9bmQVgdmsK8Fo8gi+cbVmXhnppukl62xpb1JDH+eedEBwYaAzAp OSz+mLInLStZdAqfIxkYVafoVU3EoIeMf3Tb7dgm7/VRWiWOSe5E1B0EtFDvqDa7ii+ML7YgH+Dc crOln/fgBZhyl+i7spRSpBVha4f3RcpsLKFHV99g94B17GV3SvfhWVReWHjepQ5DSCjaxkNW6Et7 wA/NbwPHpU3LWWAk2RU1c8mkK3buLYoOR0JnbH581GTpMHfRRULOXVU6ss5bqFQTarNotbtTJ5xP Vdpj7y+A8K61Brnk+6VMLeybMsGfWPXujm/q0cCkORhCGEwLRfb5mnMdyF+eQnBuZWxRiSIWJXK8 sztOl75cAAr3hSaYcrdXe+n5XwpuviRmliMOzVgRoNnFaaUfciNNpZ0qr2sCdWdbY18erzGr1pYV prrfWMqKs3RC/fLFtpx4zOz8KCWd6gGUUYRn/FE1BHM4+3H0sfvQ1N0kzGY9JhP9TunrcEFe9Aja ffZsSQ3gKjDE5760Ig2TapsRoFiZF/5ymS0O27Tf6OTymB+I0M4Zs+Xe8Qxl9u+bhNA/Cr4C8OUT Wd23MvpSVPIQwexSRGrIZ1rHgWyBwH7Ou6opAGnS1puwuJFe77aLUo6/ilHhW8bn4smhWxmJKY4y GDEdMg5cdlH9Okdvh3rHRi13OTPHxfm37LLHTBj99BxajoJQUx3bH4+f9wYzGuV1VNUcstt8uw4j xcDl13VGvyQKoVXrI9XWQ5tz49o8FaeBixtwV5JE3GKil8FWu/vbsU7+HEF8ZZh/C/9jJzXKwdd1 uGOZy/LZv+dfeCRd9FPSbXNTPq+xz+NGlxHZ8tqcYMhQxTwLkhJ8QLf7od7ocHEPqcXLQtErAppG vFuItwVHbJJ24gbtOPXa+iZ8akZMCbbtkSgA/cHMbK645PpoIaeyXkLbq88pHDe6V0JDNLYWp20P PI1eqTUApwsC+NJHu0AvhpXB9wMGNgbHCDYamepDax8lGJDtTMOftHsqZatSVKgkoepKOlj+rWUe Osw4BzSHUKyk34Lh9VmTJ4kbJ+HmYa/HNKiNoyWn3aHOMSYMwFNfAK6fQXpcr8XkWpM3E/tVMc95 12OCASNI0Xk5hpCyqTs03Ig4sBjV7zj16Cor9uG6xFNWYKeMMvgEtGfbw89TNHubiLhXh07ECooL nZZITS0jXL0ZpVHXRkuImOsT5/FSf1FjFIWJRkGMYx7EIEGDTP1lAQotiTUwDyJOAJqY6u/Zaeg5 ENT9gFL2LJE0mKhAKa2zRPjGGwMjY0nHKrdMuAmfcSCRY9Pc/wo3IH5X/NBfto05o+kMOPcNJYxF 0b5N2UeZ7GF3GSm90Q+1Tu/eqVpTgnIyr/1HZJXUEWHbz35t1eU3xYFTkfLZw2P6AXs7abD8M2+u zkcxhwCWA55C41k1BqOrxw51x3Tnc8f8+GEPBM3bjpVARkaCTQJwDy4vNn+Fd1INb7/xkfXFShP+ QXp1L5XiGzEW6fzovIiKvycAn9hQ+QTN69Yd9lLfvsQj53XHiIwAXN0/CKw7g62vbhQXM0Wdk0Hb /HUFJIT8mzX1EPhKtTIX0u5PO0LTInxIIe9jPJmHxfkQNAno6zVbcMuV7l1JG2Onz2L1aS98pCvp 9BRoWQV3tGMyLEP9g9t/INs03xaCYeFINzRVARUqWrqGMayqAtqbuZy4xqJXDpR2OTUD4ugAjEQT 4YO8lTITsTo5dQTCwGH1QY4MP+53KPLWR5jjWKZptYfrC5XJu+OEV31KL4WXfNWbNIUZeO6OdLnb Ip7eiEj1vUewzWVEHFiu7MT4jf3XHdhT60yQLlwwHAsgtIdehAM/2OIIW/HKWjt4WqhswYAun3QD wIxtvUgcG8mabqESxvvsdx3vSZshndSarhQSTgFxSQxfpkR5c29H/uaJkvTqu4LXFxpdyW3IVgRB nJ5qEgYku77yFXKamvs9NcKJIBEDHG+gHh2snwEQy+bPG669MyO+pcot/Jg/a5Dr2CrtfQ49JEbP BBVmbrKna+oyWt27UGx0SJ1jLGPaySadC6QfqPEvgGvOL6Ijvgg+fbDzpt/HiweSF0ZqHIkFbltR gs5E/jwqbo16vlswNY4bPv0LbzvrP6o3WRHHuOEtIbRHcUHRw1eGz6fcUq/GyY7TrWmITOScX/Z0 xDs1RX6Qseo+s0tgvMYOS3y7z0wMwC2U0HBZdrFgYAC0rGCXfCKY1Z60FbXhXIORyRGrhuC/Z3eD YwuwQM95nA1SVpvZl2A6VUPh4Lh12eYh6VPJG/cwYEQM/mH3sH6qBVjBRONUsGVAsUnwA1dpsvP6 JrO/icntFxbY2ewec5J1NslWPpATm9h41YB6Orn5xTfiDxxOSVE7Kq+Q9gLHVVZkWSLsXQgEERKP YEqhtpiczRHa5eNF/LgPinHLhG3HHeCeHD2MPe3teWUcVHBO2pZ7DHv45MGZ1O8ej84YvWBitYJA Pbuwtq/McT14KO5dtliphN5wRT8FUfvEp4nWkbw2YSnMRyH/c3PLWO3aQV/eCgLXatm4hZDSb26I OOre5DO3Z7QlS0mHswCZBRZ2L4HozXEIS4fqNa0rO+1WTcKfCyEyylBfqVa469nXgo+1eGwo0g45 OAK7sjW9gDoGugSyUHDreRG5LsOmYcq+mRZ56sRHKLr/NyybMXjSF9eK/k9KHek+FrShAcEgvkjC YxcnlIKxYpD1RqE8UJ0iVc+D8vcR7Z1V0fY2byxlxZMDpIT3NsFYlFYFHaUbIqreEKp/A8RV+gPP VT+vOWgngsJTIeFd3nvkWyo/sw4OiuxRRrh0fw30kc8qaB0lJMAEeW/ut62RMi8NQpIeZWytGyrP so4/lg3iOwHq5na7Ow90Ug+h8dN8P/INTdHN90BTAbRGqiIjccyPMNIsmf+bJnGVD3V7p6dtKcjQ waPlHyMbf9zn3dIrjD5mHwkd61gjmmVTJRGxy1s7zxPhontfQdMgI0ICqteOWcX2PH/mzkTbLAjB 334/rhQM1BO/ZWrjL6avwvZSq1DwEIzaPI+BxQYHXCGWKOULea/Y2L8LMHYObdjLuKuL45F7xPxx d20s6reAlV1m71B0ZRXT6eoE6Wm81worC8m9xbW4c36T0S8PZIGd1W6aZ0+oWiUbijQQZ6cuHQNM hKjfj7LSiHGC7fRDDylg7oh13Q5iAunYrBaw+W7/G2/YLDMmfEBgPzl0tz+Bxt1OUWAGLSZGtLzs adxi92fJlD2DPyoUMGy2MQiGfG5KdSlZv0n/rSEysePdK7mzQUIyrbUG4LpRTWTv7bbvXYmCgYEJ Jqf+Vkhjjsb56hrLAEG1qC3ItqNuX6RF3tcP5NqRV0s3UjjbIt6bczUCgRBQ/pglVdCoM2S9YZnP jKKHOvi0NHA91HQllwcLwe92ZXLFADcH+6MO/KahVOsMhDxAbzfHMVPJsU6feDWG5jAN06oF3ymx KrgEwgG8sORDPcjTsbqnsMk8Ybkf/hfYp7PqWadbauWlSbsz0eEm5G5hr0KtvuRLoKi/BZUadeFx G+oMWfNm3hhUhrEC43XPPSZQEItgnOQrYv8MPmJc4gNpRz1TUFdBmjsAnI7wEZF3U/JfAirzcN3j ly/My4Kg1N0URsT4A9160tscEkuF7BqyKyn69E0qdL4HFIRzHblLXyt8ZzG87zhpRoCTvSEu7Ikj p24W9DWTDE3zpQOEDLueJ71fjhT48PUu3rNqI07Z3+r5TWIsbgwsm2q087L7HD/np/zeSzl71TNX TABqXNVwSBN+uIKW8xKX6v3kcRHIpO95h5FgAOiajNMLyNeJigAcnIxJ3+tD9xhQv5k3BQmEL+wY geF+DpyaRU4dPa8kDa18hR8RsRAF6DiqMPPtR2YYCfM6lScJb4mCztpPMlD2JBD0JmAoUYI3lcjt hTXdy1k/vJXwFzXhx4wtl+8SjeI7fpvwm9ur+Wrj/js/awcPuCGPBmwre6X8n1sVm7Xj+6xYYLRv OOSc5UfluDA0Wr59Ly3VyxoLyE9RJkQ5TQzWQfzSmfuOvStsh3ov3XqyPOyRiocA4qcx2VcWQBz7 dUFNmK23miW+Xq8MZMkDLxssnWZKwsWwEU19oCAzwT0AP1yQdvH+TOJQU67D4Jx5lTzP2FVTjwb0 3eMKHQ/OJmClUSSSwZBzp4XDOJohoj4r2e1XkgCvPq2Td4rvPYpeEV1nHk/29xUY9T6OlTlWNSUy IwMyl85LDnMpOL4/GlMLcsgLBYu5LKax9ecsgGcFJNTwuHwwENbm1A2CfH4b3VBeqAOR7jTrgkKG IJDDgFe6fe0J4EVnAw27PMYrCrmWJOnsLMpXn5bHnY3MDDFzUu0ifKMo8m0IU2JWYpTt4JHob8Wj 4+y5jqRZ1VB8K5XMxMjkkVgoR4dtMKVKhpX4sSe4flsTTIWkUV6GRojnDIQcfAGiCz/gO/jZyJqU yz3xSXDfgkyXBeX5E11jibl4Gpwu7ODzEGrhDMCvIqQJFBtzmTppgtylBBjsX+xcz6NjzWX2D+at LMAtyvJ+dHoh4Bn1rm6fNXv+/FIonCQ6K7N3IIPFu4Lab++A7BjpcHhuWM4fnSiOPxgaW51TJGRm ZGJfIt09dP3nI3astbDLs1WE2MyOZWd0SmeztipuJaaLcXv7nBH6l2+XVfJQFMwxPqYMxbm82eih fIJ2o6resPW22W4qOdro6TonqwaIiCGWm6N96KrM0Pe1AQejXBKKrSptssrPGlZtiwalOpp/bM4Y d/nNV/LF9Q84becM2QnPu9SILTrhiq/ubRujF0xA69Luku6Ru7us0Br5IF7/LLdF5iJELlfRgYkk pkaCZH5LBD5CGCrXb3MDcwwg8p+HqUKnSwVxTIEypt+q1jE2pNi7C/kFxbUi7ulL4wAEzZuchq6p TX2B4baekZs31fEAn6IX6/c33zkq+JCc6uKJoga6FEhksUhQMvzUWNVs/fxxU2co0GGPxy6E2ZtF KP5k4mp5nhPcuiBaVsS4Qg01A2jKEz/eUpbKITjigCMTsJ4sfe7/eLwPxftofVPWYvElZB+0LwpZ WHdzIezPXJms+INl4UvdMHCikM1o4BDyIad6jT2lIyna6YLvyGp6sa9eRP+IonlC7UChxCAtnJ6X VKVS3ndN2Tc26UxbufoGt4wRm7CpmYBRV33Vht2RNhLIRtEemmLiNScrZwLLroV0PDI8r+o9swcn eX+GGD4pxZsK03bDtk3Z7OUbgRXTRKJ/XhjbakYryzfsu9+P5wtWYpG7+vQkkOKK6C9q1RFhCULx cikbFYDmX/gCrRNuGDYy/IAg/XfYEF93EH/AdfWpjtwOY9L+nipGUGqaMAV7veexvTmFwjBa48O9 UOwcETfMnuEWtSDah2WQy/ypFb2LJlX44CWdGZ+EGABfqvtgyjoapx2Z5KwDENmyTJuRyLiCfHNz AJQNYQ85skwcQ1ofW8UILz3uLoT2imdcTMK4C7g5aYuKkDIF0qoEYIP35MwFOwVDOkjkbMueHuoF lqIoX72Z3x5jAu2iPAPd5jLWwNqXd8H1HTos4B+CLgicRJ0J7VQVPLIVdoDK9DeCO7lMPTmVeGy8 i5B6gA16VDssuYh6z7zStPXBQcLL1z9KabR/4VEkJ8hGaaCXI8cdjOT4kDEyub8F0M1qj1ciovFC K34Nrz2Ap2pKYplWB7TMB5chJUzQYWZy8uVCFZkxqffHuuXcrN0/y1cyJZxoh1ut1nplboWzhA6r m+8fuAdLLDqNv4foovlx4P8g4DJ3udpYcMsBnTrvoTQN9LoiXJlfOpARK1VvtU/TerWtcCbkgZq/ RqsTD1SFQobIEmHJtEQH4wjfRd7sSrv6Dw5HYK75HhU9AhR7fD2BSxag0XlwIXlxJs0RKe2+Ojal qzUIgjl2sQq3au/ZsDIG1bYtAYNl7Om1MbN8x/ikK183F1cfrggtJmzkTYi403QC0lnXdp8lR68J GiBe2jMbh/EsDvn44wKV70Ksxbt9GQ41763Ey/RvEoFrKwCdVYq9osXrJhrEK9eoVlgFYHbcn2BZ XMbaToGgRhu+fTvKrcunTrdqqnhwDcUq+fA9mKfDXDYo3vSVRNiCKhCD8EAaqcxNjYgow5v+SfFO Tbfu81mglI36ko+FXIlyIKGNT+x61/6lOJxckajTTJtzZnvD8N8089puDYvVLW9fvkKwSbH2QaAz oTfISR4Y0PRFSuWaCQ3n7N0S6DJ02t9WZ04+7scefUUoQiXafiQXKZAPBVg2peG0JkYxz4UzPtYJ 0O+Dnmnr/T32A/78q3V08NJEpdKVGDvMu1+o4UyZobmQhi05s4T7UEV39caN2Cmz2iYCatK7LbxB 46DCIkbK2zuHNo2zLXdMtn6dUvDCfPJtX7G0xrvGsU+7eD8/ZVotTOUoR3BODTs96lUr/ySb1P6r vCDMu3K4A6E3ylk0SWeNwJ2XZsohJZQ5588Z8lJ/CCzZNoqNQ7xXbM/MvdGIPVcVLzEfSLhrcBt4 6zP8pOy6lZ+VC+aJNrnG/AUUdkAIDkwaXXDYNq1IGBnhJC6jQv2Q2mVWz73/UXYV9NYXtYw9J+zW gsDdjuoGO/1DS81yBRTtFqSQY4FsrbINZCB/yaBAej3iqXeWy2VzIwPw+cOImtEb+Q33CBd1B4Mh M/XI1RDfObrqKQBedNr3UtAJ8GfPCOdn9B4F+3vgkRNnzCQA+Is+fgnLcSLklrs0ZauZ0l1ZmRqC JKNQ1uKQqR5SMrqOHzp4OEhPL7BMMxYwH4f1A+QPQ21aG+ZyC3GlEHLNt2Ql/rk8Tmz4Fy/hNn4i lMJVbCVBWrzKLQYjTaPVAgnRJ9DuA55qiVLVsD49fDBBJRUJ6IhHtG4Pq4GxhPZyvsyzfuJipO1T at4Vr1HmmVIjdw40yRDnqD9E7IcV9LetcHiwLwYPkoqxElAa42xlEeHSQ/HtQyJc4hXtzJxFpnDJ C9JzALxSCtwdezSkYqhJfslMz5OIgd58lUBboCeZnHpa2X++bIE1YXh079b4RGbUy3+mY5Ug//J9 XUAk4ZkBKeO6RTAag9TX2PeIrgKcCgEcsUtc8DQcFz/8JDfYfgdaLOKcd+V+YroT+t91oJfx38Z+ f1Pzn/jA3PyN+j7vjxPyCj1DGEPSEbxF5tkVPVe7EjmQKAmeOATRyLAfKNPZQ6SK9xw5lRi+hro2 4DKJ8ll88//jUTPYkRea2Fqkvy+gCnY2SWqLiCTVUwkA0tgpD2dIG+IhuXu0kj/ryz7A9Hq8u9cv g0IWp6piNypYOqD9DVLPrvd8SXCxbZ3hPzNMzIO9/XSAzR78sQtaM68hHPDGZCvGfnTPM+2i7+mt bb7WmQbkWoaXxl7qmde08JSrtj40Ms51qI3H/lWBpQ2R36snWGC3DOAX5ol1wKcVse+wDs8XTigG QtEIUkzdcjSVy7R0jFI/yAY4e69k5tc7wTpLeVDlFjBl7Lcp0UwVOe94udsqJjN6viddcnWkFYd0 DgT0V5v6a3GB38jxl0pLalZpV+ppvx0lpXDe/7abFk5RV+hrz2jYDEFXvMnNcVWwZPSd85u1N5LH J+W27zp2qSjMaeeVYyb/woWzj71yHOIg/IXmBTUtlzSOiixT4aVT6uGm9s7hx9ALrp9fZ5qe6n6S GlbT7VRqejoua/kpBsLCjKA4lBre5IFrIyD8x0gQKuEPAiObmLwBBtSGmN8p2HDwMkx3oZeQRnKc wj/XN8tW3iGAgsDOkYzwL47xuuwOqPIfS1FE7h7dVVZ2TYSdeheOtF40zu9A/i8y58wK6011Hqjg IZMV4msfBEH0pN9F1RfZLt+OG7XqW3kvYTfGjIoRNrDafbwvzKgX264vFiiNh4rXamu9adnGG2GM 7eOFzibpkEolfemtLygs9DWLRY+bhSOZpz68nAGd1EYR/nnCn4CCDLgN3/TKl/mzXs77vDr61N66 36G6Vh6PJTaJy3xsQqicpzDvf+iANuNQxKpbY7LObueaHtUE/4WyUPQv4+ugy+27opchJpL0//gs HxiY7jdbUQBIRIO0cxr+n4TqNiGWyRHK06HrzGXbQjLTXMjhopwhj7lL2/4MimTy1f/SGaBk1Y0u QqQPKCHQKUCUqbCmHIXt5znz2HOG7Pm0ZzxS/PO5nsNJT8kEL6czVK4P+bsm/oo0cpEbzejEwLLY R5Dli93VKGty7d5HlPRxMMcIelGAySiVQwoGHoU8wj+of0Q45L9GWUkhw8aVRkKbKdC6mODJhKGc 11I/7cfPZc7hRO2oqHiysAlc5fEPYs5O2K7f/Z3aQ0l87CoV/Z08V9OI4Cwl/YejHweO9V0Yb8nf E4mn5o+xkE9MI9eZHsS5GYzdYQcUzN58MhuV0ZyVCAwwLUoI99OtpWHTuKVkpPcRpj11neVe2qK+ NHxfZHl8pymae0PNzQ4g3lsnAFNVW2pI0UEd3nzJ4lxfVX+Va27kxXSHsjJxZ5hPhU2oVFPXSYgl d9/9cCe8fU1yPpm4yqYjyBQx6YD2jnXJli+53HyzT+P5sQnuogsNUy4bK2BUyrW9m/G5c9l7vGNX ofLyk/n5x3k8u+x0q4/FmZB4vZImXeONyzwQzgeiYxVE7qjOMPVbSiIlpo8Ct1dQmzC3YlJTEBmM Qy0cFkpAyCK5yvEK55Qihcq78eiICr7b//IYnZv8JLMSzewv9TeesLQzzYgkj9YY9aYDp2Jh54vT Qu9rZr+jUPELNDPCVrg6oZdLCplzTL4HRqyC2jTsu5WD7WrqB0q3LFmsxN90P0MGMsDzDEHSbssO zdJR38Qjadhp3CCTZkrriTx6yv7O3i1UyI1rpRZSmR3RHkzmvvGl/Riklg0WLsrdETvD39pkFoFE tce57csZrQhj97PrmO8/ON4c+HeBaO/DU/b3OVmuGOu0zCWe+T+BHpAZ8r2DzVES3+sH4Mmm29SX DbJsj0xvMpIEkaT0ZKyVe68bNy0ggo+8lduIQRPpT19mO9y4Jk+N1JvQRcMRrYY1sH+ZoJw7JB23 Zln86l9g3VboeHISxA1HoWQZkX7S0B+Y+sy9qKHktwmNzTQtvy7OIZqFUWkwTgP3nxdsuuKdYW+t RyMJU5UDxo7pwVmGtqO0l7yPXXnq/r2oqW3vObGk6GYUuppOMa/8k2GYXR9v9bxPBuC4hmDePj7U cRVtyT2OoWgHZq2QkR6FBg21mwq+1imoDVHk9E8Z7MO+zJ5XGoUdpPxJc9wHwng0sR1DodPBuw98 GshRjzZ6P6E0V5a4pgmzhHpLoeC0Cx7zTzH8+yAUbyHM9N4vHlBqQylGp+krnPO4gOFfVmcRnXZH UEWZQL46coQtlHWin3v9V8mXHgZ6y/h1bjvT2vvnlGkTNSrZqOLwb4nDVBEyY+WQ/ODd7zaCQuKK pVlZpX0W7VZ/QXzy4jn0sTVukUnlUd5nXR1NtF3dwOuqxVY0G6OahxAnWQkC1/VW08CfaOWVoZH2 PkG2dd75MH+eK9PTaeKM+bkvokzBeC+pRE83VnmbeGedPxcFlwpNxIBAltIBujbbBwRAdHuxAJrF a+YWG72kQJO/RDhvh4M3PA5T98TjvTJYE2HevUur0OBdqGw6Wm3eWXjxqXP6bDR5AGzJgBaJYKZM MuYIp6P+sP9SibwAkPTFgewkUUwo40VlTgXQkG2C5ALiOFFEmmCmv/xp4rgmWTUWreeNUwUWQ2uK kybWoEmm9MP0s1jHr0d+VH9a70AxhXzZBlNVWuWbUgkC/e9AaNmXBS/d4GNcMuLqKtawJXrrq9aH TerPCmQl0Eafnus0qFXrYc1yjrDaD0LRfXaWDZ23sXsRD+BXtM9CiM65jm5Qik2pPZzZ7iVAMuNc DckoOBa4+lcJohlvTMb64e5wS0srEF31deLC64twenGfd+LqEkW+qIA6tL4WfR47y13Lver6f+Wy cfg1cylDWXZZoazkkLhsDm/1SZW9OzfFUm8qy4JgFRcc6JTzpYzSaIpxIf54htjD47XjGFt6EHIb xZzmLFEsvRou9wGmwKJPkLEUVCYzEYUG1mIBKzmNKdfGZB/iUReE0BTf9dGa90THaiHoei2Hx+ii c06f/MPjvmUY5e3rm/fQy42o0foSs3Or0+Lsmb3blKlKGBMbV8m/KdTANkjfFED8fK67DfaSmb/T gXqvY67qJIhkeqs7XPEPhxKn9N/+RHQ1ODMdi6Yt6k44IhUwcAANtZyP8vYDUY9FqoN6sd79eMm5 mHzEqqEX0icNcDGLVT4dOPmNlAJoPK/nosobdG1yOO2BiNesPgrd2tvCO9EOyqJwzCpyP0ikkH9l 1CYdwcn+u2Uzqc2bj2h+PV383RdOgXNxIN24YxQKXgGM7i9vh9xX2SU2qsyPzTfPivK7j38bVDNE 8jdgaqS9vq6udjx0QefzVoaVtvIvOGwg9KA1GVE3VLGhaI6coh5UOqg6H3Hv6VcC0Rsq6P1xY0d3 oTvCyXtgiGI2EcNCkYyLY59Sw4oCVfrMrq3Qg070rbbIelr/EVMGoQSWRoK22Aamy3V1gKIJK8V9 faDzRnkZq2mUHI6QnapbCFFk7T1f5SDgWr2AJZFyXFpeQGXeAI5vlUHdLDzBO8UuRoQA8F2Em8WO 2UAgRLFOVApQgBWf3A4vw7mQ0I1lhcipZi4dhwg9lqdUrCs48pexBPhLNOYzLabVCiB6690vjmRC 6WKl5JfkbYjQhAcA8TE3uaGh0rWgAe52C2kTQuWXbhaLAHCyy8x6YtFfDy+h8gq17vrT6hRd93p4 KyZuXRR9HOk/5mqQsQk8Tn6+u1jq28Vxh5hvxwglpWU/PkR112rvwdtMvMVooDcFBZPivYLwPlBL ps/p/7DCJlsAVtItYA3EH3R8CoL05V7gOcQsfKEzTtlEzqS8FuHCA83DKQ4mXvF43iG6j+aZHiHs fKqqocHN/GlcLUXOYo0V+YlAlEhNG353LlB4hMAnDojQvD4nIIFD41bcsV0Yh2z6U0jxO5PdJSih Xz9JGRp2Dg37wjh1Y0kWvZ12WQRB9LCQ1/APZXCJKTmCQCQ0fHSJF4heSC6LnnDUObA71iq+Y9WQ BPDohWTxZrNDKHWGyOE+h6HoTv9/qwCvbI6c77IVSHIF8tPbVi94nVUx43AnwBgd8afPDtdqyToa GYRSHv7P65+PJqU1DTgt6y/J6SLW1GsI1OdzUEnBu6jocGfQZXVk0UNCwGvsfl/Zo1FZMBpYBoJ1 1GAYiShr4zjjZna7f1PF8qnIkKRHMDkOQ7Dyc+gGnQeOXHpxXGaHKK7AGk0H+fQ+qh1u2FT+8FP/ 2syUopTp2wJQg7sqRCAm6LQSBiY5a6u4m49ugpxhJH8t3nrOrq80moFDXY0OD3p/TSG+/9YzHxXp fIJ1vCRIRlJgVcwcbyatfXdlq4JwvlqCNOVd/gVeJBd/KwPNCUnMcTYy3fLou+23uT7C/vUyCrNh HApPXsQ0Of+nsb+l59IY5GYznu4qZa8gjWg5hmK61YBqM1J0p/9r26S/gta6rO59+QBxZCMaShvY pYv3k2gGLPQcraokanS9MSrchXpEk2ZaWDj/kLSK2fPLL/XNei5656NyOsNX8u7AnG8ocw3z/GPI QbeBUbma99hUT1p+JAQ8lNJCEF93OPSjO8kizF05azWbjLmDH3ljzP0Bj06XpSJAQNVJxt1qNvBQ xd7+VZ55zSDy9EpuU7h3xoTkcsj3YYry7Ym/srfV/oENzxT19wMAxWtLxLzckLcbGYIYnyL5nDhj M/XE/6wDnC3XYxSwgUILeFY0fbnt29oHW7w7RSH+Q7pJy/ZpCqTvjM/IaM0ga1zXM5oo1guEAd3J qfh/6z6IHwJV+axrG9Lc+SRAyqglbxiby+flIEGhjJ60LSaPQiTg7+QecmnpUhSyX4yvrQm55UFF JJe3vbBqcn2CfUIps88HZIKJ12kUrrcluRJ0ndlG7rxEywzam1ED/mDInxZtlE+WAgsU5PIEhvZO KQkc08Ee+eYuSZJDGuxTat7IG+fvbpb3DhGLodyZjesHIugJ1IzC2gOkpw9zJX9UMLfOt+BD44Ko IcqIxcklp2Q3Fa27S2GQSaVCNMP7v/mW1p5dj3GCer1RIXhMQSm9i8Rj2arIUfrFB0WlxJF84En8 5FghWCuRo7AhBvuzc5ZAvbHPB2xCXG4am/s5J8ZPC7Ptz2GRCvokgvTMVL9ZnNE7gR5C0bQtmsYO Vb4aIkGBNVhCUCEALCBQEKymaLf6h5BUOgvEvFHBiTr3I78itljUzc9NCf9z+04nLUhNcS8KG0WM QzC4dKItvGF2Dx46g4o49l+Ztcz9Yn1/mLv4xy5EZNj0geWjzopxQt2maAV3LXX7uX9K8ttFVkz5 rTQvczegliqvkltnxizFK2DJYemGHjN6w4kUHL0j7lr9EFrQ+KP70JI/O+y1ygYMfhomUO3lX8uW 3P6dNIU9gMFu66Un1iACTzGtvahiFR+RV4xrrwJj5s4QvEcOKGrBM/sR1QOAgKQuqLYxU1jNWpO8 oKcwKwuMqaS3EzGRxUpLfZerTBGcVk2RPEPCNjl232hMNGLmcxDB8hpWdmRPMNCZElwvv47o6GPA OQ+jh3+UB3K24FnClhnMfx/5aj64sYG19vuwJSIq2nDg6z87aJ3vsVnHkToh0w+JjO1eZp6ckzH9 5AAKKCwcaBaijsmGBfUCa/YkAC2HDou+6oAtlqF2IWKMfweJrylICOXd5HHkRlUxZZ1VT8NpVKzz Fsez0wKB07SKM67GfZBa9YD3ogtA6XDofn5+b8mSjskeJeVJbrN3SMyCzLeaCdbHD6SgxVoJeEVn D9k5wAZFtoNUmaHtsJzJD8U4wVfzGDWIyXgFn6cwiiLE2+0IneBWy1PM/gY6zJ22I/GUQ6q0bx5f AdySE9Ao3V8OkpPTVZ2suYcuFc+jxEYGNyGUjgcqJBN0tMsz2/NfEt60ZM1dXYLFdAC/PX+u09Kf nIXCA4GecyyJNQy30MPl+EfmO72ghBcCJjeZ4wHcXvMN48kT0CfEW+ngYb6h8uUb+1MCfOtsd+8w gIPJcfYEblZkJ7yfPShoPOO2cpf4vtWql/1+B2MfU7LKLrhO9PHhGj94IXqP9mT1fV0ZG82UGel+ Ir6EEoHVR+MvU00ocvbRaE8kjqjjUXky6DpiAM0FsV1iw6Y0nKnqv/vvN2gFH/i5m/RIltlZa+Tb r27H9Rs/m9WSrzHMKH56GMYaLzj+SLyRj1w94MsQ5Yfo6gubiN/sZ1G//XEauAcQ5SwD1dQhaqfH 5VyqaTLx90GFWuWjICTdBm1+DanrmAg1Iihc9EVOriY88x+fAqcYExXPG93QwrKW5HVp/8Jn+LXF gdKYbRNyAfaaHpBHEjolS8RNQTopvd4UW1PpMXagKjFeHD9rkGIbsxfGbmyrV02mDajQ9UYLApE0 DI9/8RYzwC0wm+3GLgUxPSQfPAwltBILpTIB1K9U1HxoFohowb33ZXdiRDKCAOFjSogbWFR1r7Le Z4LYxT2kE8fG3g/5FiROGAYO8un7hAEPyYGa+Nd7VTlMCAX5rN4f1HSrBeZQud2jqjZ07QQa2m8m Jeo7xfh/tEVnqxqrOKbK5e8USjABYwQ5bkglQ71b+xQDOz82rNX4IT4CrCy2ffEjldLfpU4OteYn gp0Mm7Rt1v/0EH7j+opC0vYf40DjbwR/CpVo7EmnAG25vUi8uYIBI2zqWc2/TUFrqsCxCUNtVrav jGLIaYEK1834u7MKrean6w/LR4tAddiPp2HYNnft7yf5XX9uxtjaF9D0IFlB2FuvaXGmfLeFSiyL FRXbWcJrC88nAOhBvCtK3R2+228/YlhTP6gasYjSbgsaHA6+6NUHFyRqp21I5fGfZRLaEan1vO7S o8k2n3HQW9sGl775TCbnoVRtqIaZunQKYtEjilGZb0r5xrdxdjYIyo/4VLx1wTVA4vTg6zOh1LVW hWD35WvRdwwiJbLKAKtjYY3/LSgQDezs4algNEbMQuRBA5xvfp0kT87Z2hKZQGuHt57FtmMiz4WF DRZLs7kUPonaYlXyCRcWoUb2DBPvSBEWrDvCr+K02T9Z5CM7mSZsA8jSX8aOemWDGq4W0VFZcA2z g8Fiw9yXyIBFSeSpL50AdTYJ97UXOUT/agcndeJMFNJ4WEYTQmnRPkoBfwb4XrLObKn6O981M2nz 38m4cmwSZMZ99gRb97fvTphxGYbixabBJiYHzEV1XkL1XaPEHNh9+dteX5szFRRoKOLC8DXPZyYz ghxmfNmTPdqCuUY+SKKYPSX1hXqPb9rUxa3zZF94fawYJen49wNbTC3p+1CdTgZEHIUtb2tvaWTr 42q8Stj88k4qbZJ/J/+nJwf+4pUUhtoQr1xIrdElH/D8WeeZe9Tz4qskr53R9BbFxyNYL7F83E6d XsMQenCqWlniLX2FtB94RbDWRi98oxnDXFhpaLUJ1VlKM1hmTOJTDc6yNjzNkaJMEfMFr1j0+TgE 42EA2NCYKSIC/QgTOchDWWdidgUetsD+9b6sVSZf6H9xLjZCFofrpuW9bnRpGxGk3lIHekOF5r1w FpfDovFiCjziAYG+6QfEOqv0Igj/CExH3S6HEz3fE2e+OWQ+9g0uhhp1sHJBM8E6S4LwUEHKZFqx rIIbwUi1BYo7ts+CpHNLQQQBL70PxsAB2GPZFg9Z5GI7LFf2TeyiSCER4pB3ABY7ws05gwGeVLka GcLChQtjIAQtWVDWvS623lvn/FT+zvZVtgAYAWNMWb03CxjNjIWn4EeFsabiJ5mBhDvL8kWaZge7 6F6T0ITZEP0mdaGT7KH87spnIYCVB+enrhv6kGte2OHR9qUSgVZyxRKltf6Xhoj/jUqf4me5Tkeb 7ke2+6PcmWuW7GvPOtsH+yxe/hFKWd8nuCgR3y39BHsuYtyuVxOjhx3ZIADR633jupRs+qabrzkz 7hDt/JFH5Rm1pzrWc3JRL6hEJIrUtWIU2T5fe8ZjfywiZqqAjepKad/L42KZCDhLdgRhCwm1WWNq PKNDVLyHQ5ndWIrNxP2EkyiAAXFQlZRCrTM0Fjor2B1VxxH+7TFw3IfWWW2f+t60yC3Rw/pAHUBx g4UxXHuzZDxg7K0H/qPYcPEn0ndtGnuvJ7LQh7gtalZuUCbyyBUC/FjCuY819jxy99rkhrUQTPlm kt/qCmYGdj8r6hlfLtyXZoV34NFio6erY6BEYkOocIb0o3hmCy33D/O0scFHIoiaZaRaeyP0HEUu q4Hlh43csbRhoXyCNRn+u2ovmQMUZ1irQ6fkBLC4WuLTUsLKVfuXNOfEhVhvOg2tOAWqgiH/iSTo OWeRn2BlJk4P4lSTs/KkDaCRK2tH9eSv94B+Eog/aSARomBUWLmGNM7dm5xPC1xy19qM1ekMJtBS YzfkVbfnhgz8S0DjMePcxPfN7CUXOxEEteJnk3azeInzli3KjbLPDDoQP0tV7Q4tucTLpRDvuFsR mliVQxY8P8npZ9gi6FxqJexQaih4bDjeZwNrbO0lw7REm06v3YuNCAp+i26Uv3hbH56lLK8Q+vNi tXe5YgMKlbYzU7JBgondYb3DxNTIAMCGEF1RdBMbtKSmG9BFJ11ck1iXtFJpH7djg55CVCJOEUmZ OLTEjKaVmKPKGAVbFae5t46moDUDy3ArJ614fqeZnTn1W9ohGH2PEP15tpt6Rs+1T6Fw4INbYdN4 ttENOc+zQQe2onzq+JD4fno7XqLxNbkx2qaCCrBVe/LQ22S8LScH6/D0GNx0cxfHuJZwv16tmnGi 2fG1kdKpnbUgWP4m/n6Di+yehceQVQZHpcv7FZhk7wXYMInhJN5vOojgX0xFcKGxqLYtHUbhO5Ar ZIl30mRNVCPesg8g+36mgSQGJhoXkTee15E5p5Upz1mEd1UTLxgrnyfXVZwxilsmXj/N/8pXlWv6 8rcjUG//bZJC2vGSfAKCv8aLDZMgTRno7UlDQGzd0h9k4TGxP1SrfVFkqCDbYkq6FDa0VPFJNArc l0fyEA5v1NzILikTiS4nbtUCMAztVYXOstrwnHxBZsxBvd34Mcbqqm/HJwkxycdqC8DUJkh3J8EX EAx9HZdSVwJZ3jxMk6+nTj4vKxFd9s0+xsd0oYB4WF/RI8xPE1IrGdknbbSvH4XjlCZiQk+L1Muy E88SYgAnBbfEsfSb0M4SduO6361t4R0vOvIhk2CziTMpze05q35KrnOIoYzszLiUf3Id1CgDmX89 z+rvie+x//5zTKYFkaHbR3ej4pMJotJlkl8dZSuV+goa10yzqK9z08Gts5I19G53D4Q6nldWfDZ5 OzW2EK1sHzJMk1LeUq202uLfLhszXbqbYo0rnmMv+Jd9GbQ2vil51C8YjmtZXh8jATWwKdzwq/kN 44gOcs5BeAOEVXMrP0VO8IjdD8HVHG1H16hl8f+a3gANVctBtwUL/0c6J2wx2UqMsiBMtFcWeF82 nHDvWMZU2es+rscK6X1mAIOLIcJe5xV2C2Rp/ajfPf7qsY45GS8QOMcVGJqpl+klrL0uUwGBTKJt Ge4iCSl+TqsaKvNyjI09vox4LZ0LLWiyhDoWI9Sj7k9RikQUpehxvzxH3AE0TGyA496F/UAqd8vl yj+ykjsCy4eBMSbuKttNk6Mza5GzOou14cA+PK6M3CzMJYqRNdbvOToZJn2YQ69gnRGFnCicj1Xd O2VvvAcfPDl6+noXoixly5k4R0E0eQOLLS6pDLNb6COgFy+TYHiXG5gFMrTCXYgx3Z6eEKsgZLAT gCHf9D8Up77xqr2yKYBkpVe2l3F0Tc9yj0JTflvdYrOJC7oLqB13w4ONTgbnmf9GfqNN/7jVa4fu 7yEg9Ch4kwfhiVlPjM1YjBaFnyqWyrJ7/K2CNF3BsIe4jHX7yV/AQo7igztzOMCAfUOnypMZvQrI CZnq9QptbgET9afQ32hY/zrMgzVea78F8vyoZL4m9AtMUT5YT6V8oCnQRIFTl2SsaWZffT1BSJoy HoAITlBS3c3n880p84gEcJve8Op+e39hzm40rgJu7yelWGigKDRB7jHnTvHeaK4vnlR2F7A+me0N 59p3Q9/10bQac3fKuSncqLXXITbIjPTinW448E0NaTWYbhYUktKkv92kQA5JmtOiYu5/X759yptE WyUFEValOZIxmYvUGBJfxQKUljtH12O36/GcTQK95/aEzbRZi0QqmBDJzac4werPGt7U8ODtM2WR mTxYQE4j7dWFC7z5M8hvYQaWHGFJMMIATe0CjZ3xTX0iMvTiICTOWKDCTJq9J20gGieWhOokD6QJ Mtl3o3YvLuHqcJd38XeIiQO9QUpb3UgM227jFs+D4+1luUyj5QI1GBITg6EpdcJxndBDD3NjAjgm 81+9m2izx3QZ3jC2fwgFnZwT4ll327Ty7AOmoDaXvXvYtTVP+Yi/YM5V2qmoL5XjAlw7nx9DZ7Ex qvHPVY3nYrNlqkBrJBjIVbdGhIwkxG/PwQmNNovN16BhcHR7qOBtwh+tg8W+Y6XQ7lDVruRy6SN2 zavbGF9A8JxAwqm2TqxSli+X9RPqoUPKcCKQQfi2V5+Q94YvOcM2wxKQqpKIKh84A7DKOowxFI+i tPzkttp8GhxLhICQDkHgfsyWkHE2eaGNLmLy9+mw9PrNyvqbidzgwiyAF574ZWntG/Y2SpPR8duI 0ILaecdc+QXIVNoRran/BXva0Wvt7RM5CYFd6SDzRg9irMHMP/S1K6F75nj25TdkGu1jl7+QcQkE WS4rIrNBcvYyqYTPwnw7aO8fBTTr70MFG8t2Ejrd8qepVDQobmXrCfoimZnrhOJ3WBjclQr3RUF9 r3p6DGFZTmvXedwn4EZOJPfXiLmmVZfig3uVx04t2aA1cauG8oGdwjgCtorIQCyGsMI5fXVn40JW B0ddCSxph28s3N18errXd9tkQr+5JhVg+Zs4t1rFnkxcZKeLq4L6BztC+iyqmx6Hz4B+g9QeIp9R mfbS4JC8pboFKYtMCvZgTfqhDgMUxFeMuIl8Y5IGZPsrc3Tu84iyZ8ekSHe/cdpYsvgyjLsarfbe R2/Pu8u7Bx/Mz7v8KynzsI6Q5PpmT+d9b2t0nJEKIoyn+5L257lEpTzjuUSyTuSu3zAoMhI6m6ir +cNZDBgtZUf5wE757IqjvCk+7cA5+HhXvleG0oE+evKUeUancZHUHKTcybwTBEjMMXjjcEkFQMyZ HE82+SAlgomXBuC5VxhM5BNOT99Zmh2BYR19Ij03UpdOp3Rp80eK6Clq80gTqzO+LXKLAdjwZad5 CnQ0bfHyiZ+8AoETl9UwiLMLoh4+QPHP0QhdRHxHKtGIWV3CqvYYSysfPb0Npo6zBr+Z3DMgmK/Z cHyodeh+Q2xlIvPD7PCnGCXGKl2tFkYfL9OdkNvBTYCv1NDmiJqQv58m5jT/+kZ44ndniqkmct0y qS2trprmyx3pXhhzhKVvZF6uvmGqFFtbgZlF4UflnNM/j++ZTHY1E9gFCIqZV/iLSAornlwjtjae KMONa7WYVdtTe3TTYCq1NqaH+S7GYYClaACgk5CWyEH3ZiAoJkE6zog73nsnd2ttOq0PT8kLrZfu 3vqkhdAQkoqn1ILkGpLr5cc7krWAz7kvFscWvH19qiilPMu6hUhDPlOrfIhFGCZgfZAobr5jJOu+ q+uR2QxkjfZwFgK1jd+HqsdPbUTSSzhR8qeHqz9IE1M2E0NC1L1OSRKLcbJggaLwqUqkpTcJV6dP UnFIogH9O7xfxl3eU9xOIxOl2zYuN3MQL/a0j9Cdabo9jEK+KJpJOZvfV1r97+XY5TVLBCs74OlC SvM0qJq9Aug+FLQ/Tjc7qy/EhsFurxB/Aeh0uhnbZ8H3vskMZwAssCGHqrKF571qbPgS3ueVX3kz s16zmWJcp5U6DYvwZa/xFMGfEK7wagNWr5Qyh01/M/op6ZphFUeGpdePu1+ie296WzZe+xfPb1U0 XI15zS8iZ1YXugoFO05pqcyMyCpq1e3uh3gj5Msv03wQ9jVTZrjnP7MMo3eW8VOGgX0aFf7YqhFW CUfOt2jBKOXVGhuo+hrxBy0EhKqMDEc9MW5/yYTVTHjz6rNns4QHoDlyjtfqTSK5qD7kHFbLfOsR +Tejhe9pHEJPNg1UdmG2qR4jyYX/rNa6zluG0Jd4ceNTEXNQpv1go6QuITN5z7sKhCBfYjIuF5I5 1O/DThPeyRa7MtVD60uizmTtrzRILArCBxNYDursGsZ/2hpLdxtnr97E1/JdtC+13mG7t3I/Q3dP IjefFMfeXF+J5bEm5Q8pLSEHldInbdJCoHaNvrRSxtp1Ukiyls4J5sMT4+WDpvWazOw1rE9PAalh m/450y6cybU+w9rqrXMvW6ZsW+OwxSdCxxr8kTM9ozs2KdWW6dU8FkgWzOIBC/Azsu9Zu0e15NH9 5Hu/jpHerH0rGWLIBresN3S44/WTZXUbdOk0DUcnMwf4dvu8BSydNCzK+BN2HOqQPSpB9YEQXSVW SNjdbELcTZU3ozpNTrI02pxBN7y8V0hTlOh3nRB0i16QJWW10ph7yYWoKJY6NHGVlS/6kX2F2JMy +xjjKo6gfFm4vXkNkEBucF+7k/7aRuXo4sKvlHqRkfH/xbtt9p71z4Ia+yRot8ujM5UQf8g05IfZ uBGC+4SOtt+QerQtRDHdgPnzAbLFZ1DxYSELohGu1/4TVuh+y8yZL4vLdEGLAya7ghV1n/PetoeN +ZLovB9nn0mBa8ZjoEYTnRTQquS/W/I0TilQpaPlYuWIgAfUuKBT6ztHpuxCKsfSZeGMoLYXAFyx aU0Id6Hp9NG1A9ItPD33oX63ZuY1D9a9VuJIZp6uQc9cXA7WpPDrXpSk0SrVl5tcYoNu1/5wEflq SNh15M1UZcTlaErP6/AzL0X+iXjuBE0X2rST0C7U+jHnqMR3UtjBtIN7kQEWkEzn43B2dO0Dy7es aWoyDGaFlOZMpeOEYZ29WqPLK/nXLdHO/zLm74pIMlOzIqL+w3tZVm0PmiAQwyWJUKJLSALwdQhY meD0XtHHh/xg3P7MIywqzG0FgUhtPYjhdn1gtLevFBlWGJRE9gXZiRY4C6uAGWyo8xtfwyQ7KHDN 3V/SAZJSH/TB4eHjBiTYblUlNkc+uLNTZRJcKWDyhPK2/wzvemTY6AzwuZEc0+AxltC3sL+Qa1UY PQf/LG/Yq20m9LgGW1C/zlT/kxSnVcAzS1BghYBsqJYooVQHLDOOk8YdMOomfiPbRaRK9EwC0Hv7 wLwB71WjPTKgqZuz5LFn7EjsZDcsNIn0brNP0LX3HZhc8Id8EB7h5SMKK47tO3Vj5SESi2oH8Jaw 3ugPw04ugGulHHcFAId6TvmTA/T4CFCnBZBKnT5w0iXSc+wdfJBjXx1VTzH7jF5Q7eRlBB19WJUa 5DAp2kddspO3BilOoPGJ2AcTa2fNBuCxXncKzJrCx1Zm5hua8mtNvaPlvz7o4qe2aNfxvZ4jktSP m1fqMn95Ose6SOiPEJAPtUhEEX29pwMAeaTckuOS4gBcbLu/CGkY21GILt5D/QmXYcjt++yy+TKO RNsWcnrvJl8TJf4fIz92ZuQI/WgKaeThcnlqY50BUd3izZVK7Tei26B+XHNNY8QqyS9dsvB1cJ4x ZlIDvwV++Y+WKptscFayeh1UD6ForO4ReQ2s+iogUbPqsaSBvEEb3IcfGXAmP9THBHGRxZMMI8tf OnqWXxFgduUXZl31T74wfl3B3eCsZUKAhk7Jypqj2sYH34QJT78G1uyAVq8+0hUZtA6Lp8aVG6Ar NK4/K3FSsTvwYTfFgPZJ9AoiutwjMjJzV8rSSzBV5hff4e2fnVxF0n4X+0IsKEIaLxDxdgd6ZW9L Fgw/hp2dFByKzcAYXnGY46M4td+63mKAOYzWBNSyS4w0FpHrK6fCjKrYS+qOysYnPPclkVmq+Y1J RuNwy77uc+7ldjrEuGXYIEn2i7qQo5t+KON3SESZUrwRpAw/st4ZfcdjrRhXhvOMd/xcZonFkmG8 Iybp7VARDwsM3Iy3zVjnuNobPtlQ9VjoiKlbPZBaQC12apxE5r05dJXDIZp7f0cl3bcOK9s2PAJA fAj13fM+6N8pvH/lM7wtDGoBBbF6Ivd18ccxSuP1ZAM27Gk4Vt4YRTcVKJgWuoWdgEVztmTmGK62 ulTsoPMQx2hTjfMw9o2xisx5VJnGNRnhcpqfE7A6ZisCu2EelIM+4WWY/olzuhZzBQl8x1y4/NN6 RjH1rTUQjPUXACf4BPtvNUClj+C8a5edqul8yROarsMulusqwN6OruVTw1xjUizSNAntib1YCdcl qulw05nQYlNV6tsE1GZdzhXY4Won2gVKWf4MRBjZIx5LpFftQ2OQBcSAEWz7f+JGwIAlCf/fr8vI e2g95gPCZzcG3j/cZdB85Vt2/22T2/MiE+bMPH2eEt14SFKxFdmkVuKydqFF4D+TUlIkRwKWP6mk /HOUisV+RLqRxkHht+jbVSNYdAy53jjaBfKVW4lXPtJPfJ3L25/4JDZVsQ/iLMv8uZE0dxVcVl8v lD+HuFMNxRxIEzl75I3pVkThGgl9/R/15SGW35BOjQ71WMMw/d8/pEsoQ2E0ywNKSnuElxnYOkA9 Wrm/cLK9Q6qQ5Bc2ri49i3MEba5Zkbi+bMR7PLmu9LF4m8TglY9gdbkMvX9h9LU6hl3tR2l2a+H7 k24oLijz+O+d1SGjfMczWkG30rA8YSP+jnin7TkgbBtqJvYtbNSCnrNkOtzHhQsUFLnefcBpfCn0 8s+0PIT8PduZjN0PNfwdKrfx42I3UO234Cm2XpSbMYRllHgO6sMutL4Lp1lFkvC6teNKecQfOe34 Ty75lvAiPe4qbIT+89vcgb/8NE2DS5pRrK/RR6zgzTvt+qZfN6eQ11ClgJERDR2zb8PoUdAWDzIt uvgHrYD42aQJKoc104TNLa6HkfAw3wOeZFAPGgunhUL3bgZuvJfDFGFxVPZPX0AL1qm9KEK8sha5 izUdAK1YIOUG6g91apT3apBmeb8bHBpuhXc+9LOopnEQe638qQGj6oU9rlkrX7NlRrmvGGggKvKk Z/wDCgqaeTdpVwqN+2RX00Lnlwq7dAplKl7WVcneMrdWGla7Okh5ttzS0yq46yLGaeW9yjjIrRMC xHZXEWBxNwTvEAi6qHM+4Nve+YHmP9kh5zbiPo6xq4cUu2I2Bv6MsFhlc5KW1WQX+Z+FSHtc9piO 9mz7KJRPVJcf9zN6Hxn1chJMtnyaaDpJPqgT+8XoiPbPAcxYydP2LNUd5VOUYxwv/tYY3RfLmO5/ Kgezerb3Vg88vYi7/fwHMuvWmChORByCn02ZGGBrNEcjjZ3/Wq6N4OYmWLvXEYb4adqHd/cbY8wg 1Xj5jW4b0jVvyGNiujFZMCjZxIyWaYMTPIbLTyGIL2P6N6MfqbM9Mr/iFbFlzchEJjBBplhS+gfy crkzil7ismgMeqjRrnS4GAt1999HKcO0AjILag1a8/z8o4fA91qFagZjAZJLTsx6JNSP7PKT7Utl m+FxO0BuuC3SQHKc8UYEoMlUAZEmio/v0p1iYAshhwjS9vKB7Xu+5aeksSuvHw7eXnaw3ahUdhWg y3F/8hiJnTLD0HUYgePs9ZxiYsowepGU9xmqK/10uOXcyAhQOcjeaZBtt2xb9TkvGi6dPdveOh4N XAhDJTfbZT2pMwQ/0brh07rSxkhWShErXVcJtHWOCnPQxgkNn53wWYEPVZJJk8iycbWSpSVjY/CB s8gAdGz1k9pmDT0E5P1Z5s+zlCw2qQb46Q93um1uTq1L+1yYgTfVqWV094fnrTi6bHPHVUNwqgnb +KSJloNMytg8UAinWCl+KppHFs22WVyBASeTOfAD/2WVRpOg1WFvp24liKjS/faEHWLc7Xoh1N6P l3cJCOzccRHGKT/ePlwWwxzLk5q6tyuFcGkh6NA+lvH3Lkbr35L4yxldIPfDgoaIJsFz6r5t6xAF qhuWtMJP/e8HaaDq0+aoMsUIYlW+QkoYGKjMDUc9wfrNGnk+GEmass/I6FxuNKSN9ezyCk2Lg0Oh JSvbwLCYl0hbLkAIZrwbvD5o3Kth2NqukT9TNalExrFbzcHF4LcrhvAX0srzj2lWgQ6ueKs9B5Cp nSEcSLk9IIHTSB7+5wyLpV9r/3DiQFagGD8jS4WjWalCiFAKyq42eMolpGG72Ngg57FzNOL/4NLc DdfBnotHCkLEuRtvIhLX0e9EXMUhi4Qnnv4HCzl4m7trW9wmU4Y/0KZRPL8l2S0Oca3kPWOCMo5U KmMSpGUccI/pMm2zx4yWcXpyxucn5RwY0sIapTCjBthebMbaeX8K/L/V54y+68eiplj3FKv9CWZu 8wKWsy62i+4HZ2SRnLgLZblAKmctkS/MCF4C6uE1VfhBFAwC9EWds7extb7B6zPprL8U5EmwEoUE hmhyqKWb46crDi6Gk1QkRUbdZj+9ivXwoHknMqd5Nf2FvCQyR5U0dbwmE8ej4Y1k/4ZUyes6lCBA boedaHdZFlG6qC1TxNUyP9mXSfRnqgSursaBum4HJ6O172F3a6wXQ469j7BGa7J58ndRx9PepXcV mgF9YQQv502skltt5qTz/TXAsSl+4dlcTUByQwiKLTCP/Qm9Rl3IhcekoByi8ZdfUYT2rHwghIvp 5AJAxXwKkWqq3Yofbubp6b+QHqy+QbEEugsXedj8BKDkhmV207HfQbbm3tMQIZCkY1+yf8/cs4qI AbvV4F9BCLS+VKP+m1b2W9274HA1ojhspuXitNGTUwIpsdXXHN0S/npwucTqBQdslEuvI3vhG5Qr L1XmkCkd1SQY9H4U32K5bWQ8Nq2Cwcs/u3fgfwvXyiirQG3N5zTvqWqnNPPBmIjyOtGPS2cKiqM3 vq9jVTLg1eDXpkJKq1+RUaq68bgI33Cn/UDiFt2hsO7DLxHIFEwoTcIKEH+saWCLVo5eneVZjcYQ dEuWhFAeXGhV9eTqRL8WgQOtdgCJv/FzNtkSlm+QKSXoZAKcgxk0eU/IAQyIXP8fZJQ0MkPEkrB4 dKCZHeka7HJbch44x55m1LvlMWsbx8IIBjfzQCgEPANIPYs+mCpOZT+7fUfxMuj8suRHXCrtDNEC Yhxe01lYX6u1e+O5+D2upOpU7H3SOnY2+PkDZUIeIhXo+91jWEo5r0yniLKD8M0RqxpV7B2gcet6 LcdiJS3aMFTmb9w/NDA+ungExE/O+82L4ajhUb/uv/eXND4E41qMHxwRXbgi1dWtz/Q282SHaOze GeZZowC5PmIxUtWiDXa4LSix44QT8OSP4RcF3u8UjJn34GsOEmJwzv1DX88tjdFSt9kOlGDQHnLp YgmxKgtqhiZhw3dA5TqJqYvqjCp9X/NELIYz9JKG/29piwPYFtHSOQWMLQniI+nRQTbGO+RcO6yc rOL0Dxi/okAW2hp46US96l72MRW7ztIGOri+KiwypDN606o7FjuS2Qsn2O1WCc5PcyEyyqrIwTPO GcQyw664gWVhi68E6bpz60S5yC5tYMRtgzqmHjxRkouthPop21dF4wZUJTPc0j1ZNuoyvnDZb+8H X+FaiH6xcNKIHWFf9UKAyASgrzXEsNYdifzBXFI70EoudHzhv/KqE8Y0WRcz2MSAyNQeoJO6TGo0 qUCZaY3ynJvyCzwRRGKKonn+cBqB/QRr0klkou8aToFT4PhYilsD9NJ8DNJ75meQR2KhKKHrPMOq kni/GULnQUB3nLEbuAlFHTf6/gZzRgqOT8Ssh5juyFLjxYnt8nNH6He6pZq2yk6iU8o2vHyj4UMh hQbF37bmsLxRBY/s3Rj75GKL0LGdDtd/TGDUdektPPVDjffPDYMSnyDuJVlXLpfv7+WDll7JL4UY mQuFb/aQ5B2+D+tHAfl7GsRL/rm06am72Nl5CflJkZY3gxQMS1LxQ8hmAet3+PKXEaIj73stjjg7 Lxzxm7VOLfh1bHtq8y/MVD3UpC1L+Gdp/FQPdyvAunGmXojjI65z38CK6obuauzDHQROVyMAAoUw PH30FPQ7UWIcdzLOw2pyN7JimHtO7NhgJNWoC2bM9RwIHpH3I46OHjiJNkndGogSwSfkB0f3++JB LlV/DktAXLq0cKreuwaDp8A8DUXCBjSztvNrWmq63JcT0uU60p1lYVT7JSN/Y+tcq8NIau9Lk1na ALf6RzNui+xPgyCtN+75tcUHtB0I+lLSEjrkkS9pWohcnXRmo/SwH99+3+WDM/iXcYoI746nN8c/ OVrjpwVBuhk8hnWpcGrlPxVGg07dTbdD0z95jWu7OunnBppG9+L8XZ/yQvk2bEjIWLDkwkZ2VKzk 4X5VKJAjuj/R2er/7PDwX43XrpOq18RdtaHoh8M6T14loMIVhfBF1EgK3/v7nxsx22vdgJ0JE5Dn XErYJGpt5Eo0b0UW86/yo7SQ2UhYIFXB7wuCZZrzXeFI2soKfE817k9FLLI2zT7BkiqVEUG+1NT6 xfB1Hrq31mXiWehY4xcaAuKIE3+hJZsdG1xmjVhkdvlXRaZJGMglGBoPv+V4m8z/09CdDbM9g8LS nRjx6eW0ggnaPCCtGkQTmHyYVX7O6Sidg0jj73mfpvM811Nu8jRel7G/mEv6qSS+sSrP9/p0R+8l ZfP9x5yzDgzyh0xOVtpbsGNRvqJ+CzgJBAHTMF5ce9WIesOXOGVuMtWqskmV+guw5vUTgC7mao+Z 1x8ZOzEQ0Jpm5N9hZnN6J1D2Ljdw6ATM93PUsSTvT5aEQahJhB1eIyS3xDRfsNOa7Q+1KIOdqq6j bS199m4daqFKolHKO0egJCJDah7xtWf8xTgshxvmg+X4qaNOHn+a4c7AFnR76yDx/JUHHfAHX0yv r8r500puPgzNRJtrVPFotVH7EaxiUmwWeWTxRXf6NUllCGBezHoZoPVlHqJUZ+9OS+OBGz3RZkrC vqeuj6KwXWwxS9KTKXlz13GkZpOr1qhLExqUhch8auf5jKF3tmC3mDUbyvCED+sHkBuCDaenc7m1 dzErx6fJhhhdzAmEH63CyLkoeYgjcnKW0oUdow8BlX0oH8a7gvhKnr6FwlTzlvjUwJYBeSy2VAN2 leShLvTx5+3xbIEoKZ5XLWb7kTAo48zK+nhDWKiZx1zde4NyF0oag34naDy59h/qcSfaDEzj9cyc hOzN88C/uIyzxr1oKZj7gLvqmvubDRVzOWIjuROBLL12KI1aA+yYhP92SOKcLXX9e6O+zhPmLGWA b1TJbZ06hL3fVzeKsuMF4bgiEOevRmGCXkeD8SC+7wJb6IIaog16lq3qCUdNpJONjFvq6M1534bv teVJHeN4m4i79/fPUDOpGdy32oQDaxK2tymqnn+YdLjjcEJP62WjDI2vfBTuaEvkSXMLYaru+/32 AQXHupO/qWcf3Ked2BqzmWIBk/giYdDeRipghHCI49Sgg6LStNhDccuN3/B+RsVM6AYFke3r7fWF utPH+Dh0fMJ6WfxDE0hvbdl8fE2DFXED4WqG4uwZHgSSdCpcz2hSwGLc1AhtuDJnqFEm+aDOw/2e BoflVUkQQfdsy3V/fs4j1GVLJhIrkOH+JJe0GE7Vk4lm8mB1EJntx8/zicUGCrsZ1z80X+6ZVNTf WZNpoEJaD+QwWhGdU+ul3XDfoahzjtfGMz7Cu6zkCcSQ+VL4z0Q+lZhb754fH8QT/kzESg7A2Osi S8vahSwoxJllJPvIhJyoG82Q8iFEaVHbJtfhN2eYKB1Ss7eOaA5ST7cp5kVzLYWKAq3UisvBT5oJ XOju3D0wrtB4F+LHAaKM6nHvUyhvu53IicPA9k8s1h/cVImsr6wZzqXx1IShzCghcD/YNb5vaB9v U1NTXhVlzwNRArv7AC3SBtrXKuVAG2aEHRO2EkivX/4wZgvLqFtx1Ss4CClHwWgMGFdUzkhR6ZDw GpVKNay46/f3vmsGQ8ymxIFG06r2dbRz3zS6zQvnJjzLiQNrn6AA3YAYfseTKHS5Q9yKU+a9XUzL LiE8wJVdSXhh53XOQVCGSUFrb6x6M/Iu68GEjIPPR7am7m0aGtXfFgIPfu//4PxEUJeXjuGhl0R8 F6YPf9MXM+xPUKpGxCK1tQbipAyx8wXqzviVj+7NsNeILs3FcejOvh8jSjOlADXhcRcvJq1PDCqR IDJ3q46R/VuRjOf0GUNbNAeEcUbb3qpxHoOjeglGezuvbpbnzJTC1KyIlRQwu6xQlxmxrGjTlxrd ZAX/RayXl8httR7P5mlJ9nmNZATAkv4ROUpKkU3RkSmuOw52p448CvYBd0e1J/E57kgp1j20zvQr pQvdvEG4e4Mi+csCP3YCk4/GkWBmmyP5c7AGADJijB3OtnmEhWfTGC1KOVSvT/oGR9OMwyAwYkNb pnPepnBbFEixvIeRWE+IiymUT5T9R/ADbPKrdbtqKUk4i0aYnVlvHGGS5PPBRXURfVlj5FiJWVkH ecBLKv7xWw0G9LWKUOYURK3iz2bEnb0AcFxqJIH1LwAYfgxHbBcTYpGbM0pfUUBGFZ4+iyHHbmVJ lRCTy7b+sjnR+8ae2qi3NTGO9f8GL5XvCkVMir0nY47DxnLPV9ZANTeLvgut7iN3qHj4ljfV5ESM wPRik2XKv3v0fgYLxRHuunXNFFM1/5sHCiTp0bLM3Gw804J4fHsoCE+hr0JSMFE+NXeqFtEk37R/ /AJoYMbyIEQfRk0YOoy71seVmAJ/Yb0WMkxHxJaar2Z/wz3PsCpsqlbCCyIgLwcILRUCvAazNHsp iqpeMImhQlwcQBbfKJBpRvDS4AocCjI+o+soMoDep93upNVtjx/1V8fztiJzu8x9jLsVaXilYRha w7f4edD3zbF8kc9yWZW7MWGKUJMz1vwpkuAYlcd6TJBAJiaaQxdFo1nEtUMiVcyQFgXvgd9UsmSL s9IWw6uwPlM5Ye7+nL1CjFN2gidxG8DcGwQRdvTsNYeJcw5+1fFZKarYLPi7JhCu2+2UrkzDqZ0/ 9McPK1Rbrk+Mtq8PbMftwUEWTBu0VahgTP2lew7RiAqpewHcOQv1NFg1ZbEh/i51nmnB5zC9fhvv gGo7C7fPkRB1FUOcsOiTZgDIj4LJfdCCkl1y6TLVEX24TFZB6z/e1vP2hePxjaW3FAHrxH0qyTLg fEjDajhqMKZUqJyJDDIcHvdZPY18Q0hzq8CEH6ngc/kHscwF2i7s0l5W2b79qiMVHJXvy0X1ubuD QIIZPxEw97BimcsuZiUdZXjdWf8I3WUkfLH69e65eqf5nMSCq64ERvK18ingeqlFEM+Hgt9XAZcb Wct1Dpqq5PQJ4EHldNtjw+dqeeFt/3mx3G0fBsTGBXoD3+ETs7R5X8Z7M2Jxf5DS4fXJrHkIbSeb qckA/V2YPaw/hDoRUlLK9rdMXcaG8GQ0IZBuYndRNndxbqgBbDMPT0mOZ83DdErEIW+ukaQ5qGLb DcYQAcTxoQcg6cCPXbAqhzizfnyeTHvLI7WjN0Ns6Y5S33R4TmkDEncBesT0gwjZVMzDcnzEAyQb 6hN/RZfJlmIIhuBNSGXcztrxB+zWPuyE5gUVb9CuO2oIGYlFjhQ+blyzjajG804k39k+Ut0jpTNA nwSrBw498yl4ThfZA0iuH8cHpqEOQ+/vftmpv8DTu+Pz9Pd6agZU5F0/0oBy19oz1RoN30xXTcGW 4Fsu/cFvu1YKcIFJdu4jSK0WjP05CMnjQlnJhKRXMuFk8hmiqw2mcpwdBsH2Q/00ZzbwplL+p0Of h6t1iCLn3f04+CHrLmzRSZyMsNCgxdjnWqBBaxT/gw2VUrKRH26KVjnYnc29WaFPzfuIx431RH1H I9uRKlZYUsB1qNe03VO6rImGAgr/4b7Y8EGfTk35Frdsz6Qf1BQRuY9wZAPwuH2UhhTPMJ0Ezsga 0jsLeqjbNRoMp6iKx31CNeqjqrhMIdd2qOaCmVqPR4o9AzruQXNB/6GwCFkCyY/sSw3wrd7FxLf1 BO781Ur2yOjAnVJRNhrGmVsys2dhCNJQircMtur9R/1cJBVx8fLO+PWvYQpo3QmKzbtavyfwbNME hHgC0DXIzpQmD0u3pdK66V07jLSSft92i6gA+knmhy8bmVJ5mkgddvgg3SOwfZVtDZFAMzaV9QAu nzV9bOdpTseaVAVPMhM7cyU/9ukxjNxfyGWuoEGwyuaIEBDjPNjlMyw9ZO8Jg5CFpHAkxrcKCvoQ p5FLIw8b3MmHjkEnYdyEVdFTZ/yVCs0Io8dhimca6N57Hgn70aLyKhR0ykJKdr9TOJG8a5J0VSC2 Gkvb2M5crWfFzMB+ypi6r7QgWp2FSCxKV8D/N5WxMAyh7GaiQFGvTsuSJrFYcD0qhS3kWn1QTpgS LBeTn3H8FhwdMceoU6EBPWAmMGtUQ0LA6pGvXPNv1drA3pTu+THE6FdP5tnZBnzxfjTC05c9ecPq cEEE19bOj/eDzbk+o28KN+fzBWMhm5a3dnM23O+J7Gnq5QB9ArM1llfbdfSdcb8DvCrJyc69bQBz HWd7aL2iRweR/g6lbPlXiT4mfCanXDLSVkdFsS1er5sMM/RAWryJhNucP3F2Aiy6GAwSIUBxiSTr Sz8RAihLyH+DKlnHYU6OSXb6p6y1YqJ+ejbqjNUgOK35BCLijIM2VfNNqqz7mWorHPc7iQ91xD1q iNvwn4HJ7ziYqps3coy6AteQYyyLywBAhXRoNFGQSuiWZxMyqnqI1pyTFDl0Om/9PHOBlDscbu2s QpbPV1b75t/uEUXYNQj10zPb4OF1KKVfkVcA2NQsZUAMaTKRx+RVgcrhzIgvAPgiAAPWaVBzrHte hoo+NEtfO5cY9zyLSrrco8XZ5LPxAPqOHJx1qG17IY2MhgRY2fBOUigZA5UDc70OTqluDZldse6P e2/IG75HVcvlyil6TRBmsxah36bOtXn3Qf5G5wHuY7Rp9GC2VS7HHODSFvOQOeenobEeLKrsFkGA EQ4FPeQzTFoIRhEKnv9EygejxT/KV22s0F8GQAuNN1uY73Rp4k5L8Ulu6Usy2F1ns3Zbncw0dfII hqcKka2sKthzzj0izNsy/Yrp3AF5H7tDEXgyps3LmCyeiZ8N+j1eUkv0zru8o7ZBmjERE85OMBdh VSpE1XgrCP1jHwT02P6RJ0s/PvquMjWiDoIkCdHezaxFNmxoceoNR5PNy4sxdAcmrQGtfQ/Aekor 1OoIXQ+nG21wSmwOBaZDIJZVaaL0tJpxSZN4v6tPS3q5W8iqVxN/WO1a5jLYTujdU0br55X2o+dP z5jqfcU4Ft76dA8+Qnm+v/FI0hQjSSxkgDrFogD23u2PaTJDs5pdXZiyfG0HAmFu/kL51PpuyW0Q /Lk9xhb0HHN8gGV32UzAGPUok13rwTjJYDNx+ohqltRUmnQ42fop+bCOIdLd7G8UjNlltAEpDqdV 3gu0893RzdkDiugEsCoEjpQF/7qltTIZuyazawKJhqXOVHSscvdynsQ0OZxsiBtaIwxIrduxm1u1 2PQ4l0r5SeJtF0t6qMkQLvbQ9ywvcSBQWSwu9/FTo6DblxNW21aTtVJ5RpNAdw0zXG3kM5QhfZHv TNqgG2BC4q5nhleHQEiNxSxi0vYBgFSYmaRHCKWILCOxR1/ipY5G8WN8TotAAAEw3OG1IXfyib0N g6uEEYQ/SSNKU92qG4FKuHKtGt4kmBnS0QInKDAmgGUzfIAIiXPLR+zCpBecL5t3rTZcl32gcyfb frZQLejHhUBqV6WBP5OPa9CnDQpuvbpVYgaBvQBsuMF2oSoycfLTdXW5YiWd304klQj4kLU53t8X 0Qrk/vrKJ5XKDIpW2ZUhpBkMD9ze8ex6RnIfxhQ6VHHVDX3V2ZkMoyouJjPPrZc5O4GfBzVZ74K1 F3+qMUoknVo19TsL9L/+a/IQMB5rf039+g9Ga9IKESxVmajafKeMWrCooFH8z+mu0JHKkx8KFNVU 1bUiNQa8078iEJ6oeuLk6gW8v8gGrGhXT9ymVZNqLoKr2MY+9V3m74O9NKxYO9e6I8MyXEzYc9k+ FvunQWprC+NY+i5+SUBdufeTHKVUhzchoyMnFB9w9VsjfLPLTDcOrnOkZG2h5OJb0OpY1jUyGkFl vsOtYFiYHnZ70wjTU+Q8Zy5F9DIwhdOkHUq8YSmwbdw5Iv279JbQvqpnn9Z46lIMxfaSBtALZBzk fGHtLNZ5QApPiZns5F/QbyWoTL0D3NIpuXm1fUYqw6D3Dc6YYmbA3yOGqKvyCogSz+aIxKub589F sNTKNZnSDOwBdzY9uei1JKjnpZZ/h9kG1G2QZd1AF8ZMaPUCqCZlrCZeow42B7QTSqrM6rAe7N0B 5APinrqxD7VLTNtuxeCXEq2JhcFn3D7Y6aXFe53+slrPcIkMVSDCGhoYM1PcS7+jl7Ok3sIz14Pi rOPx0bR+jFPD8tav9k8cyi8qCq7VeVAg6NjUWEgIc3ZfbzE/K4nvNeZat1aKiWChS2O3Q4+yFPlt M5U56HFQwETdarFbq9Idh/hu6SM4sRS6/Y1DjrWKWrUZa+gaFm6owQEgKOiwG4vSks2D0Hl5O2fE UV4S1jQJhdZzrO1bMKs9uQtZ6oORXRISnqIjQces5UT+wcSDp6IzhyvCoekuAUj2gkIkNcxXEK0u Gfed6dbN69B/BtpqjI1OCrHShGkHWzcvH2s+XtZ6GROAKc7Alp+/JfpbY2kiJlY84svv7QBL4bv+ lSO1aZWG40h3ztGKMxVVc3MpnRTZ4I/rLYa1+55gn8WH8Jb/KDPmQhJViOXIvCS9Lyf3EEcebxwV JnocueNg+XCEMWJ+ImoQPCMhAHRaZG97Yi5v0gU1tf/3dep8HGFDZpkcGjEWEgaoe3GvpZ//qZSe bC+MVVNvx6FzWhYmDVVIIfTHxn4Z5isRNzQXQ4zpROm4xj4Je2NbpdL1suYBpSCyhGWkup6UKGp1 dnVpEczZurM+rBhBWTOAVhxIlI9OB4UAuOHZGr/ceRfKboi8siD4bas7ZYzcf7wmZ6WC7Sq/qgcl DI5Mg04XaG9bWR8Z8/LYqhSyIrlt35l4lrhQSJiQhE7jCRXV0sQGnjjBeUOIaCqyAZxEtx2P+QSd 0VyBVdynL41iA7T9YxFSdrPbpmy0fS2Ayy+R6Pc4RgZFj6sCsTirY8xWJUudtkh3nEDZB2I/oCFT 1eED77FRIWpbjZ161oJ53CvrzPqrASDmQnB7lU8mZo8UMILRHBuSdCip02DBxKeV6LWgfI5WGaq8 vQGtxjeTlxQexZPBgBp6qwl5oiJhrUClcZ8k2HVh4Dnsx1XygRTZXZZ/O3HcZGjRwrpNBP6gBjmP M1XpenQ2IG6BOra2zhXwr/kg26MvxyCvvEXBfzzvhBv4VedNGhhjTDkZtpcnu+YGnO8Jjiu8GxfG owbxB4aOiRgTTPC6ZrucBy6mDvdrt88JfrwRZRP/83kBwevM/i4Dup3WFY4ZayWKxSZT+dO6xOCZ 9FjdAHN8yDHypJ82lYPW9koJ+eo/Zww50WUHUcAtHS1CLLYuls0ElnJzqT1gnEePQth0q6BkudWS rUxG2DQaioi3dADi8+DGVJwf9Uh/DYzsSLoE+biPPD5tQqvj1a87yNX/yFd9dzRg1FuOqACNCYi4 yOAYfOi0I+oBBXELLApjZebyhmb6nmCjyYL8SC63f0yVjPeu+q9yrdkpdRqkdC3uQrn0GZpu4qbZ oCnQlRL9uNE/7WaqehsM0cR5ZhMY1KYCSHlGj/KrbLmuDO0dFQfOagoowyYk2FmGtwp+s529t4jC T2UheAu8libooK6zvVVBrTwOhnFYiMfcLa5CXkcnTxZZJNBbfnBel4LRaiYsSVvgZym20jxH1kTo 1Du4BojIOlmWUpFxwcTaz4XEb77EYr197oBcP+eV5egFi0KofxKzew7IMPyfmChRXyBqggcaL4vc 4kODQrrm5z80tuT1OaVw9VmJUqUGcfC12taMkmnk4C8iaLpEvzaXstyofvIY6cmVV5t5LMVF8mtB LcPRn4JaKD4/4/Ct+G9wp9e/Cjdy5HtwK7uZtbWElye66w+XIG09jtGSyI+NAiVEMdkIuOXX8RQw dy7w+aj4faVnL7lZUEm6CnZsj8MSH2PjCXphZV/tULgPknUS6K9N0clOGO64LjDPW0bNIQ/sTZhO rchfWmN+1Koe2O5N4OC+ts4VmbL1E3vrB2fPRo58JR8RemvdemdYJ9v5uaTOn7rmRoHlvoB1wpF5 Rjr3Rd+l8Fqc6JSSKOCymsw5E5uDFVPhKkAX6f3scA06bezwvrAUcR+wIHed4Aog4kb4M3ot6AVO TUf4FMKRGifXGs+Xc80hqMSSBihxyhYNvRnNT9zQembgAMc1fnFTWhWIpbau/AYNz0ZphdfO9gua /8a2azoAKFlVMGE4qH27syB+4JoTGsX+CNktF0mcioum6iujjA9mFxPSCM7MIWnSdDPzv9VyTmUv Kgdl6FOXHoTqSAfISWAK+J+J9KyXZJnRyMo5pRbGT/HwHtz83cEflT8zQux0P6Yr2XpMQsfTW+bD u1JaA1eXRMddTUiqTAj2SJAESjuNekPJ9PB8gudU0vohP3PBKSi2gw2qrbBT0yM059dDuAlSSnTk T0/g1cTN6yY9YYlXrayMyTZWjymAtUIXyc1A5yLbX0AAhNjgIwJaEjvBBZdvFVpYMwQnb5GKEHhf Fw+cYP00h8Wdd8mfiw1G0AqjKlew8TTa/jQP9BujaLnO65wolpHVqR/ZrAEhXLkoO1DNnjTuePrB YkzLAoDJO9SNlTNEt8zC9WZLgWCtTKAjQqezppdGy8j8bj7INDzMFCpNf2tqvQ4K7NprX0mDoU9R 1QdwLmvPiiA7v/k2hVVqGnL+tE1YltaLmUqb/c+8aszs5eypuL3ElU+CxSXLkmRdxdw1f00q27vw 2FQubihWe2HKnmH/GEmQ8Jw1XYGw691zOKNdur88F7IK38P+A0B01b+JzfoJtH8Av64Wx5/7VdW0 3AeOmm+CnZkmKnr+zP9NIoQ9lR14wMuUd9bCGTrkdwcTmqrwGdtLRTb19GkjqDTS+XS05cAZ/P3K 53ZzlC1F+ccRlnGkmV+Hnc4XAigyajrMWlcilJuaHsOp7LM0gjR1mN/gp969S/+oTq4q1KPI1JnA M1HXfYcKYOtWTBCs7ai6PsOG/qooE0Av+EMH+OOFF8v7vC5zVD1UZOYAY5hyjx8QHSP48Ggy1VBG rANgB/I+qTKxLt9oMliv0D1bx+7cx7KVbCtgQknLke5FeGdP0jSZ9NJFy6Px5eRm3vv1wF+GpDKE 0SbGLiVae7npXTbMH03x5AFGiYIHtyX21Hntr185h7TPm/CsNpwFyuJu4SoYXLaC3UtpC+oymAwv ddjbraGEIYF4XQXYHsW4/dfJsyqA2rWV9RNHHARqJs08CdOjUgksqUdZqvBQBepscTGL0LkcCacJ pr5no2dwy9XUjLn7T1W5SsJYYpWOFlK9/CPOfXtstrdmvDsTMmIehDNU2hec0O67bBuvRKN2ROAW S6gXammEuM60nSJNegpKDb1SBPK8+iwRx55ydm+xW/Hy8Jfi13CLGO3JkVax8QDtb8qK28x+pBKj 8Ta4SfMkLZ0X/OBj4wUr2VqMqY2jhDN15NAAbkZ+0ovUKly+FCNGqyaFv/q1pRiBCVWu4Oce7Fjq CIVE4WjukuIap79Pf4kfS7FQQJgwsHnd4iFBybnBkj56hiqDPjw+X6yzRr39HXnD62WrWmE9D3WB uz/IM9hs8tU4CgRQ4zr1tmKsluVFeTHASWkB1zeOE6xwAohgpAeKCMNaJkzkL/woEchPu3KoL7cA lVV5BmOFnHus9jT5AJw0tXgpUcjMxpsCtPG1RH36NEEUqBcvb2o7SIeikwbrW/hdtwKvjf0KlFSo 38uW6A/F352z7YcdgPy3WGRV7XBUSiQK2Af+ZreFPWTV1xyWUMrfVt7C4sLQmdovx+4I+efWxlb2 jW6uld9sUl82TzwRa5Pzvid7bUC9j+O3O1Mzurva+i7vb1hCMre54w4fWFA85NqITrky0jdBuFbO IU2NxdNXy73iSI+wKxzvbm1Sf2GhvIlP7z/wdNJgR4Wd/NnM/2wQ3AV099kIzRB+HDpDwX+I7fKw Ufwtu8ntVPYaFMsBVKFaL3q4geUBDWiVNAGu7mFBwCIJVJ0e3sKhRtIwM79p+pyYxiPscISr9/ps odRV7VwDJ01vSqDH2fDhk802cGTwQUo5xAyovkXc4SISPGFoO/OuPK0L9XZx1xYY2N3o9iNMg3A0 mLeC80Mkj53J9TMBQgPoPs1aVsI35X8mW3FSu8ifeBIR1iyuE7tfLixz8GWTHD9sisMvmP6dmho4 GeRhhak0UiJGa0mR44qSGexPLpf6Pf728nESisdX2ML99x/4QJ3HPnUWUji4zMCc+13UsGnfCiI8 muGUMhOHlT+GyJWFoH80Kim2Tz4mUyhOcFJOOsMB3zp0ejy26ngw/EKjEXhYnsIFebnWjVqY4Vk4 pUK1opP9afnBXQJGEnlntDEaVLldHxIv50Csn1Qza/KgmKPHuYH9BHA+ud0QlnCaqFEUBeX/+ugg zUEG9MmVCRQrNiia/gRjkL6qhHu2626RyZiSaZ78Y+GP0AaU3NXGfqK06dXmN1X5e7n/25bIpqhf hWZLjTGwcQ7qCnsjLGtJ6huGHEMyD7y3D0aD7r5GAPv4p88GfhzQGLOgBboaouhpCG4ob5xsBGr2 G0K3lJcL/zPl3DBPQomERKdalYvLDuhK6r15WmT48BVw6idNYGghqEp9vpyM0wqr31ojCn8eKPPs /1TfW7T0evIgJ6lJlGf5I1r2t6SNPmkPtJbqt5KZVvKSZ3g5yxOp7ShtaUKLHwVwQfx7BW81McoL /F1O7tjSneanYC0yO81P2OZEugE6RvU1yS0wiTUYUPdRFNJrhrCJZCAb1wh9IaXwtgMnTf5nFhed xlgHybKeioCQm4s0D+rl8SXMHGfJtpJzZHMxhhZEVVQ9hEHstOyQZPwz3SsEuOhz1sdbjgHYkua3 zfEv5wn6LoecLyNM8k84HuAfYoRMan4OxPTD6B5JRnJsCCLYyyzZOXA+gdMQcPLImVt8CKsNKZv2 kji4ellH1Cf5H6mdI3Y6eZYGhH8qPAt1JvlZ3oIQIe0orPAF75QVK9P78M7zpNsX+t5v+GPHuecx ENBTlrx4RySYAMl5FQ/aomuiHuImxQojqW5zWlS+3W3T1a5HIjSqHoqM0f8qpnjJa8FKziotV1t1 gkRPomLn4+FKUn8oU+iwdJsNKVVM29b3doxjnbFI/2VkIszlGDdXXGbQhaKFuSHn2q3GN7eqYGLM FvM5Tbub/XwTOTdgyJBMy5Brtj8fHgCRWES4WHYsjl7VfLc89pBKv78yZqry6T6ZaLUX5e18Gdnv 88LHvGlcNBJcpDzRZKQ1wt4uTIcQ9u8i/dpjshzEQPKL3T4HjkfKIkB46KsCQIkwIU1aTxAf/6B+ Re4SQN3ig+wH4OMXRFkkScpDwxdW4T6SZxu6meGdZAbdwd0a3HlJXd+vfCdzHx399QkNkPLi8zcy /aSRqFWMohfuP/qaBC1jsjYup9ZUN7Ul1THzAUHJsN19F4SL0QDSf9dlX+7JLBmZYih16fWm8M4A j44z9ov4bemSxzSmnxBAOz9LlrTRWc+ElQM/7f7XQXqzlUOtZVHKoG7eALIM54td1BNKLFWeb5m6 EoDVuxoiGdjJKweDFTAhqp4a4G4WMoTbvHy5EMBMiyUvMd9VIPQzSw98ogbFflGydTfaLHlfttE8 +N/0N03cU3hQx0BBcQpHoA1emWxAH5pBibc82Dd7Ebo+urhl4WezkuGaVNqMGdGXJC+3TM0qkFCZ wX7Yp1zE7cr48mC0NNs4hbUC1Yr3LgZVrVjRpIBAFOi4OCT2Z69MI8Ng7P5oQXQyYnxZaE7c2+Li LT61AOtpm4A+khfae3f9RwTXFgkHmYE7zAnU0+cH9sdgl2QpdrLBU8Jl/qrQMLLBWs2baiTn/QBS Wz1lJ6KBg4rN7wsa9gtVccUlPM2Ldn44QNXY4mvKXAK+A0qqQ3H+o9fCIza6tLG1xO6YAseBpt3O YruhCTCLwvykiql7buGPoeUbyHE2BCGvG1iCyg3mppIe8XitH6UevRYEvUJu6p+LhrZvEyDCCzxY CmQtL7wMb0sWhfy2s5lodAijU4R4nnXDOoMQYJ/OW6jrldnFv8zT0Bn+50uGLGCR8NN4qjOiYkI9 bWZye2NKEj1c/V/5VTJjRonHg08oKuasBaA7SITrt1mPuDM1+R32sIywPOx/tQalW55nitvJTtr1 tf0WAmnxSrE94I/HUjy7LmoQGQ/eKfkqW/WQG05hzns4unWhyRhhkINuhBLnBU0VvFttoWPdr1nM 78b8CPo7VClhI5ObivrKPp/+Kax/M+FjzkSA8CG+mppt+9EZtAXXHNNIo6VN6tL1jYPLXdGHLSm+ VLNCgedvZyy0f2tSwyr3V/udJ7k0CylUX6oVYsi43GkM/PiwMvQjbpegbHZWLP8FIcZEd/B8ZWuB 8rcSM0HC1OfyyCKmKyT4G9H4zZIUui9s2NIJfrl4S1M3Ra+8N/lfaWJA3ZYZMhsobgmwotvTjCCP w0NLIWUtPPe8z9d3Iy55+uxmUI/VNvFpsezJRYht8Owo0yq0ldTMzvrszU1UzzTRKIhYZCJmLbP2 G79oh9H3akqGC39sSbABnJWyYt6Nl/Nl0NOJVm5Z/6xezWWO83wEqew3b1LHV6uZDYVOX7Ulav+0 02L6brdHedC2xnlK8+kS0OzKBmoyWs5A2p8YrCQUtyUmFyJIxVnn+EnucE+8CFn7/Ak3efUAcgdH cHUb1UxqiIRjzNp2hTa+AXSTY7DwHS9ExsOG15R/ZMaeKOidOazZlXXapNn8+QZj1DoZGv3bF3jV 62i560PH2mJC4twYHBXtRoaZon5gjxVHOFB3B4m9FssQhkVnurNYyVzKrWfVpZyGExJkGp+Wpd1A oUYCtV3ii50r1d43wxpugoWs0gdT/gCAT31YTtYTo3BM7O0T8CTwtOtm9mYvrfOo29xHRpIfo7iG czX+TM4V31PSxYnVOVW1u2NDckMbguAiD6dHxhrEJN0B2ihjfk43DfzIILtBkOy3uFQg3SC4DEu0 OgEmBzCk5DXD6i3yOwXAWIVFPhKoFnIF4zj0fAR6SeB7UoCn9v90aEbq+i2UXRjSkHjpy0gtU0iz 992YpjiI9BPPTVyZKAv1v/6SBtji5tuuJSjWNPAirXQVtmZjXU3cGQtIiESurYbbOIoeVOdHA0Pr eI8MsY2jGEIzspVxHfIEa2wjRDzkxOENEbsDvI8ei2noIKpj4lQTf6/iecH0ZGggw7M8tzqTM2w7 BeB018/Dv9zftzLgKxVECsHlr88O2KtM9NB2QY6fl6S8UwhqX5ke0SeVonm0I/cnMHzSeON1DXyS gX5zPlGh6u5ULihgwHYYxFulwvYzWExka+hAxBGzP+Fs5pQt1MqtpypICaDKKkqVw68Ovkk77OqW AQxSfV2IGq77s7uHEAOMSmhgX8sbVV8RKEWi1/CEiAp3ydFl9rAffCO9n6Ru1KAo6itIyAl5AagI l6BlCqthdz645gUCGVvrCn3JxZ5yK9BAEXwylsYup7TXecuVisQsZ3sIo8je+00PSnTyr39Zj730 gJ9VhbxG6ACpvZ4dXBDjrgaP30kK4h9t8zWkR1yyu5QXh9gtprjXJSSyC8bWyFdjCrmRS+uMf997 0QRRvlnvt9ymQXOE+WJPdoRUivqjuVvGa9DwgHOlklTvNFNnlmfj7HSqnSubfx1pmy5eSsyFCs2D /amMVYe9T18G+DRK2qzM1K8O46rgwPQg1m0RjI1CJMJe5WeRnVGkwDKrdo0of9GCOrHGV+VX9miV /619qX7BCk2HMAX0yDGyozKM0w8iUlrUem7XrF6KYoJaOD8974gXDz1iohWuQNGtUhE9DQo4BCEo RD/kfJNCFgJsLlXBaD35r2WM8qnFfgf5H9Kp7NF42jC0n65+6swBHeiHwLc4b0zBN9oR6ZI39sNB RDQW08YbZ/xM+bexT7vYzsmlLLvrXkjxe3I9TIUG9ZYwRVj0ngm3FPDLbWJEsdb/NXtja3Bp6oUh Rm63dX9wqdGA4mPweotIzMY3N8zxkDuSMrJ+93vkke2qpB9j7AMq6nHIgoNf6GeiFWEg30IB1c+h biqLIDyHpOZbs7jEV41iKAih6cnj+0iSil7HKe6AbmG4ZYfp+zAwpKEim4WY/GtvV4XvAYcAJOpi /GBVUCKNfiwOkBsiPnNOcyvKNREikIQwrvmujZw6d/mYJxiPu7iWhOziUmTnEGvRCT5Q8D4G65TF XRp52S84GqFMfWgAGWPExtNZkt4Hn3QmziuAP6o/vok4sIilgBSB2Yd66RCpmNUX+nctKajkaQ/f CWAODJ1ur3AUygypW98gDMtsx5CQpducXm8dB4djcq6ktCf8kXy54cQRXlqCXwXWwpGD+39pukNV fjDDMfw7WN8Pnj2BvBVJK27SdIXyih2s3lSrItSxlcJbddFqKuhxs3G9rqpjo1q3q2sEFhRz1MX4 vU5+AAhYRmb2g/CQ10d/04w8ciAB5bibsL4K2xnuSwcyIk89UuoDlmzyxF/8YfiFU4gnPEp4zai6 5PI= `protect end_protected library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_gthe3 is port ( cplllock_out : out STD_LOGIC_VECTOR ( 0 to 0 ); gthtxn_out : out STD_LOGIC_VECTOR ( 0 to 0 ); gthtxp_out : out STD_LOGIC_VECTOR ( 0 to 0 ); gtpowergood_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxoutclk_out : out STD_LOGIC_VECTOR ( 0 to 0 ); txoutclk_out : out STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_userdata_rx_out : out STD_LOGIC_VECTOR ( 15 downto 0 ); rxctrl0_out : out STD_LOGIC_VECTOR ( 1 downto 0 ); rxctrl1_out : out STD_LOGIC_VECTOR ( 1 downto 0 ); rxclkcorcnt_out : out STD_LOGIC_VECTOR ( 1 downto 0 ); txbufstatus_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxbufstatus_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxctrl2_out : out STD_LOGIC_VECTOR ( 1 downto 0 ); rxctrl3_out : out STD_LOGIC_VECTOR ( 1 downto 0 ); gtwiz_reset_tx_done_out : out STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_reset_rx_done_out : out STD_LOGIC_VECTOR ( 0 to 0 ); drpclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gthrxn_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gthrxp_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtrefclk0_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxmcommaalignen_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxusrclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txelecidle_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_userdata_tx_in : in STD_LOGIC_VECTOR ( 15 downto 0 ); txctrl0_in : in STD_LOGIC_VECTOR ( 1 downto 0 ); txctrl1_in : in STD_LOGIC_VECTOR ( 1 downto 0 ); rxpd_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txctrl2_in : in STD_LOGIC_VECTOR ( 1 downto 0 ); gtwiz_userclk_tx_active_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_reset_all_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_reset_tx_datapath_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_reset_rx_datapath_in : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_gthe3; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_gthe3 is signal \^cplllock_out\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \gen_gtwizard_gthe3.cpllpd_ch_int\ : STD_LOGIC; signal \gen_gtwizard_gthe3.gen_channel_container[24].gen_enabled_channel.gthe3_channel_wrapper_inst_n_4\ : STD_LOGIC; signal \gen_gtwizard_gthe3.gen_channel_container[24].gen_enabled_channel.gthe3_channel_wrapper_inst_n_6\ : STD_LOGIC; signal \gen_gtwizard_gthe3.gen_channel_container[24].gen_enabled_channel.gthe3_channel_wrapper_inst_n_7\ : STD_LOGIC; signal \gen_gtwizard_gthe3.gen_channel_container[24].gen_enabled_channel.gthe3_channel_wrapper_inst_n_9\ : STD_LOGIC; signal \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\ : STD_LOGIC; signal \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.txresetdone_sync\ : STD_LOGIC; signal \gen_gtwizard_gthe3.gtrxreset_int\ : STD_LOGIC; signal \gen_gtwizard_gthe3.gttxreset_int\ : STD_LOGIC; signal \gen_gtwizard_gthe3.rxprogdivreset_int\ : STD_LOGIC; signal \gen_gtwizard_gthe3.rxuserrdy_int\ : STD_LOGIC; signal \gen_gtwizard_gthe3.txprogdivreset_int\ : STD_LOGIC; signal \gen_gtwizard_gthe3.txuserrdy_int\ : STD_LOGIC; signal \^gtpowergood_out\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal rst_in0 : STD_LOGIC; begin cplllock_out(0) <= \^cplllock_out\(0); gtpowergood_out(0) <= \^gtpowergood_out\(0); \gen_gtwizard_gthe3.gen_channel_container[24].gen_enabled_channel.gthe3_channel_wrapper_inst\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gthe3_channel_wrapper port map ( cplllock_out(0) => \^cplllock_out\(0), drpclk_in(0) => drpclk_in(0), \gen_gtwizard_gthe3.cpllpd_ch_int\ => \gen_gtwizard_gthe3.cpllpd_ch_int\, \gen_gtwizard_gthe3.gtrxreset_int\ => \gen_gtwizard_gthe3.gtrxreset_int\, \gen_gtwizard_gthe3.gttxreset_int\ => \gen_gtwizard_gthe3.gttxreset_int\, \gen_gtwizard_gthe3.rxprogdivreset_int\ => \gen_gtwizard_gthe3.rxprogdivreset_int\, \gen_gtwizard_gthe3.rxuserrdy_int\ => \gen_gtwizard_gthe3.rxuserrdy_int\, \gen_gtwizard_gthe3.txprogdivreset_int\ => \gen_gtwizard_gthe3.txprogdivreset_int\, \gen_gtwizard_gthe3.txuserrdy_int\ => \gen_gtwizard_gthe3.txuserrdy_int\, gthrxn_in(0) => gthrxn_in(0), gthrxp_in(0) => gthrxp_in(0), gthtxn_out(0) => gthtxn_out(0), gthtxp_out(0) => gthtxp_out(0), gtpowergood_out(0) => \^gtpowergood_out\(0), gtrefclk0_in(0) => gtrefclk0_in(0), gtwiz_userdata_rx_out(15 downto 0) => gtwiz_userdata_rx_out(15 downto 0), gtwiz_userdata_tx_in(15 downto 0) => gtwiz_userdata_tx_in(15 downto 0), rst_in0 => rst_in0, rxbufstatus_out(0) => rxbufstatus_out(0), rxcdrlock_out(0) => \gen_gtwizard_gthe3.gen_channel_container[24].gen_enabled_channel.gthe3_channel_wrapper_inst_n_4\, rxclkcorcnt_out(1 downto 0) => rxclkcorcnt_out(1 downto 0), rxctrl0_out(1 downto 0) => rxctrl0_out(1 downto 0), rxctrl1_out(1 downto 0) => rxctrl1_out(1 downto 0), rxctrl2_out(1 downto 0) => rxctrl2_out(1 downto 0), rxctrl3_out(1 downto 0) => rxctrl3_out(1 downto 0), rxmcommaalignen_in(0) => rxmcommaalignen_in(0), rxoutclk_out(0) => rxoutclk_out(0), rxpd_in(0) => rxpd_in(0), rxpmaresetdone_out(0) => \gen_gtwizard_gthe3.gen_channel_container[24].gen_enabled_channel.gthe3_channel_wrapper_inst_n_6\, rxresetdone_out(0) => \gen_gtwizard_gthe3.gen_channel_container[24].gen_enabled_channel.gthe3_channel_wrapper_inst_n_7\, rxusrclk_in(0) => rxusrclk_in(0), txbufstatus_out(0) => txbufstatus_out(0), txctrl0_in(1 downto 0) => txctrl0_in(1 downto 0), txctrl1_in(1 downto 0) => txctrl1_in(1 downto 0), txctrl2_in(1 downto 0) => txctrl2_in(1 downto 0), txelecidle_in(0) => txelecidle_in(0), txoutclk_out(0) => txoutclk_out(0), txresetdone_out(0) => \gen_gtwizard_gthe3.gen_channel_container[24].gen_enabled_channel.gthe3_channel_wrapper_inst_n_9\ ); \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gen_ch_xrd[0].bit_synchronizer_rxresetdone_inst\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer port map ( drpclk_in(0) => drpclk_in(0), \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\ => \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\, rxresetdone_out(0) => \gen_gtwizard_gthe3.gen_channel_container[24].gen_enabled_channel.gthe3_channel_wrapper_inst_n_7\ ); \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gen_ch_xrd[0].bit_synchronizer_txresetdone_inst\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_bit_synchronizer_3 port map ( drpclk_in(0) => drpclk_in(0), \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.txresetdone_sync\ => \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.txresetdone_sync\, txresetdone_out(0) => \gen_gtwizard_gthe3.gen_channel_container[24].gen_enabled_channel.gthe3_channel_wrapper_inst_n_9\ ); \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_9_gtwiz_reset port map ( cplllock_out(0) => \^cplllock_out\(0), drpclk_in(0) => drpclk_in(0), \gen_gtwizard_gthe3.cpllpd_ch_int\ => \gen_gtwizard_gthe3.cpllpd_ch_int\, \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\ => \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\, \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.txresetdone_sync\ => \gen_gtwizard_gthe3.gen_reset_controller_internal.gen_single_instance.txresetdone_sync\, \gen_gtwizard_gthe3.gtrxreset_int\ => \gen_gtwizard_gthe3.gtrxreset_int\, \gen_gtwizard_gthe3.gttxreset_int\ => \gen_gtwizard_gthe3.gttxreset_int\, \gen_gtwizard_gthe3.rxprogdivreset_int\ => \gen_gtwizard_gthe3.rxprogdivreset_int\, \gen_gtwizard_gthe3.rxuserrdy_int\ => \gen_gtwizard_gthe3.rxuserrdy_int\, \gen_gtwizard_gthe3.txprogdivreset_int\ => \gen_gtwizard_gthe3.txprogdivreset_int\, \gen_gtwizard_gthe3.txuserrdy_int\ => \gen_gtwizard_gthe3.txuserrdy_int\, gtpowergood_out(0) => \^gtpowergood_out\(0), gtwiz_reset_all_in(0) => gtwiz_reset_all_in(0), gtwiz_reset_rx_datapath_in(0) => gtwiz_reset_rx_datapath_in(0), gtwiz_reset_rx_done_out(0) => gtwiz_reset_rx_done_out(0), gtwiz_reset_tx_datapath_in(0) => gtwiz_reset_tx_datapath_in(0), gtwiz_reset_tx_done_out(0) => gtwiz_reset_tx_done_out(0), gtwiz_userclk_tx_active_in(0) => gtwiz_userclk_tx_active_in(0), rst_in0 => rst_in0, rxcdrlock_out(0) => \gen_gtwizard_gthe3.gen_channel_container[24].gen_enabled_channel.gthe3_channel_wrapper_inst_n_4\, rxpmaresetdone_out(0) => \gen_gtwizard_gthe3.gen_channel_container[24].gen_enabled_channel.gthe3_channel_wrapper_inst_n_6\, rxusrclk_in(0) => rxusrclk_in(0) ); end STRUCTURE; `protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2020.2" `protect key_keyowner="Cadence Design Systems.", key_keyname="cds_rsa_key", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=64) `protect key_block WrLPAmevOeee/HiaIGgPKffTsGjPw79Mvhb1LvIE3IQs20r9+LQOoFGpfUylEN1UW2O2frWdS04S 72SDyqvJ5A== `protect key_keyowner="Synopsys", key_keyname="SNPS-VCS-RSA-2", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=128) `protect key_block C57Uh05FvDEJaXQ4H8lC5UbDO/jg7m+45NOtD4cM+eEYb3jcEPXS/mMv8e0ZOAe/mg7S5VXmkWr7 VEk0dR5AU4kxRj4XjFKlvVLZkhNdXiS3LQk/EziN2GSKJjjDKBkNHEfhYIGF1ZkOpC43O4yuYrxk CIWTpVXywZi8wCaExe8= `protect key_keyowner="Aldec", key_keyname="ALDEC15_001", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block lnTbrZfs2R120YpSyobtyskobEgxZSAlXnUQXw1gJpszgY/hqhzTy3v0ru7GipkY6qPoEcZwNnVX iD7GpCBRhqKix8pqMugQ1kvNhkn1r2YRhmA6XHA0ry90LNrf+n9uqlf476IBJTLTd3uu4ZngV06I QvBbiq8tjaP25el1krCHHl5rfNirhuwiDDOMI2E116k0hSU8spCYQ0rZ4zCPJqOKT+fAtz1I+L2I 7khRnsRzR+YQ1RpBojQPxfqkEiv3A1XZQAUu2jSrW9PWm/3IpjLtJkZmcI7pciYLWv6MsTfFOhxV 6plNRVK33O7OxS/zjPhtulkG1IT36qOdQJ/Taw== `protect key_keyowner="ATRENTA", key_keyname="ATR-SG-2015-RSA-3", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block NYPBrFjA8rEwnRj94/W5n/OoJJ3VW9KspqmB8LGhkba5zTpRXGx5cP5VSAONdwboNqGe1cRhXJpS mEHlKqR0glqNIxnLFETHEfkwnm/8dMDrYX6GKlEZVWbhg4uvlJIq7o63AhclqIqjyA+EUIWFI9av c/Cg2WZkvMEk5Voduuli2eqGDoNjtmDUO4UdgeH75LdFY+E+U4xGGx2EjuMxwi6MtgMAzDD+P/gb 2nE3Cf73IZGJnwsh0ov4Y7OeTZ3lhbpUZqjEbOmWRvr+qHsDr7W/qKnJlzCwft/TK1nwPSkQvDoO Sh4iuY6J4CC2wm95ser/gBAkQRbDLCyN6r+p6Q== `protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VELOCE-RSA", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=128) `protect key_block l8u9KWR7K0iPE4cKBtlWMJgIHngboNXFVNkkmZ6xHn0dciEOTcoZJ47OzbolWOOFGMusDRe3wPtf ExmCTLetP1a5jaZMnwKNMmVJqq0v1MCXmQo7CRSSvmjqubldjetWzfvokwLk6MZBAh7O+uM2lRVg 2JUh5JSpOyhotZWrrds= `protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VERIF-SIM-RSA-2", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block sS8d+5TDoy1zTTZMk5jun5fAGkESRFp8QMV8vg1sxwTYGe/MJptNpwEltS6HAqGJ0yAsHgTGiwbT +PlF6ZE7GdA3glLDui13HfwGjVy0dNgtbTeWYb0FHGMjNDSJfT/IEaYDdKr1JpgrZJPIOQ7HrQF6 YMldqxFOawfLh/OhhNaa5tKLjc6+CsSrjpDc2xu/XL01G1T40M44u9ezedVs8kEEFbhhJoSZJr+R Ylnota7Y4vr97XPxV540BG16z5uWCTfxzmtqjY0jRsdmMdWnhPVEemtBUdyBgpquhyWigLjIdd+m 9FytOvuiYqx/QxlsxkfK+SGt5NTbSf8tnbpcTg== `protect key_keyowner="Real Intent", key_keyname="RI-RSA-KEY-1", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block jNC3g1ETgClEMyVRhJ9X1s0X3KSfCdTvdZ0hojJSjoINg4/IQZvPSTnm6KvDNN/9PD4ErCjmDDOV 7sMbtgU6WCbq/U9qhyKK/PWjXyTgOd32u9xnMP6sNlms5y7haCan/c0J3oVpTutiV6FVgEClXJfB n6wb0JyxR6eXI1RBZNNu4xSis1Eylp63Pg2jds0dA3HV1PfmkSmZ2llTUpuUh9dt5hBDsgevFCqq lEiJByppRy8Qv3L8bbNSl4LQSQfiGho07tKxnCrEOqJG7yd5jckcWNgwK4ONAZrBPYPjgPr/6etW 42E/gtZfx84l0bOSgB+lTAvbVJ/HXcEJ+ULNJQ== `protect key_keyowner="Xilinx", key_keyname="xilinxt_2020_08", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block pTLqFXpPpE2wsvVFAPd6keg/FFvC68hyF9vLqKPMM2rj+6kUgPBCKgz90mreQi2fbuua+uvx6l++ PnxknxaYB9TXxHfRJsyoZX/lDaUDvlgNy3lgZ10JP/sGNlu8DMEiANoLx2Ohda9e4aq9Dcpr3IWp CBAzAaUYSGBBZlIxhN0o1NGemu0bHisB4FMqqN144xTFb3G/ofll70V6WbXVqq4JSE85mg9m8Sny Mylfwhg7XM4vQBhWx1WmUxD19oquKtZeWYm8xJ/0FtlO/dZW/v/IrTsBHO+g31Tuc4Yeq02xEa1c lAadhPvCyPFXNR39xVwlTKf8TjWKchWjriUEHA== `protect key_keyowner="Metrics Technologies Inc.", key_keyname="DSim", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block Mz18GBawyyJpgag5k/ejGuWP7010DXxbJmmL/KB398ON6rHGp/E040EN7rcmiOjYamjthKi+JJ2H Tsnh2qF0B/TBwgXWQfN04JV3tPSOr334V4HruGr6OWUGQAHJKJsH0QNDci9vwkafL+ZLz1+0JhRU Gw+LKI/lB6iQ5sxRT75gHKPtr4swUQdSkdcS9UFHulKsKMJPsSMMQnlVkHPnlvM3c5gHCbWM1V/+ GXVuzNWNhwqGZz8iUOKWTw2IVwb2FoqM8OcImKR2VhTloz8FFMN3uYbLd6PqzMrb/IOKBNzLq6ZA HllfEYb6sxyvg7DpPdUkiMIe4F4KLLEgaFkhGw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18000) `protect data_block 0NsC83qI+b7RvwS3lk/Bv8IAisH059ivk/CFDkAdnTQlJAEc7e5qmNbZk2ITuuCeJY32GmJlN+I9 qVPC0coXt8fCt6FuBx0t9fSpNVaO9VSkhC5s4mQscFRSydhM6Udu/OWptVP3dMDFrJ1iBgPuW2ue V3ft8wkC62Z9ygyxWkKwQZDs2EfLHuXuAslfdVXeuz1wRZBLqDvjYG+tU9lL47Upiyw+c3EXal4k dA6tDJCdXzpoklXEa/hgYA+YE1UcBWs5HEXIz+AxSwQF3bW2KN2lxh/KKKh/t3NscaFkdK1gVy87 ZFsCnncq6utqshc+KvScdJs3XG3+IxetXj3hUYyz5UzA1eF161s5/UKQsRmD7QjFFKSFNXHwA0q8 6MGlIm6utUtYLL0A/e6QQVEmjicKOIALRSN/tpXSidIpmmslrfn+udB9fy3D+OIDMVZfQCVvBsTi +qNmLfLBvNs1p2FNEaFP81pxmHaA4qQNDh4/m3uVvBnKH0NYlgPPhaL0yhGRMJod1HOlx0ahfgHV XP+Jyw0REPOVQDEYtRf4tKuMGdPNQmupdTZ9bzlIqYfx/HRHM5vepQaHwtQ8mvFWlVhtaGCwf713 wc2idQfzKOecB+OqGBx3n66J3VPyoEsQTB4hpGfybt592u6xI9bj1D+Ch6dTXuFYzHzH48wrtxdt ePRgh6BKkK9PDOlSD43zyUOG/oLgWd1vJAub40mQVcSdzd+9ZHycHL9cwz/uVoCeOZszsJTB3YGt zRUUlY8LyXwwLpD55Uz2Vkucmp9kGIJGjgnDEjFC+zHlQYRFC6vpwj5J2bCFZ7sPit9k4vxDXryZ PmlvSyHjAv8RER/AfqZU7f6tA70A3Kas5BBdEZRlqlKwhWZAW7ltCrLbEUj22JLMv0dxl7Zfpjq0 iKydOz4vzZ4+f0GDwnoKelHLrrZbc6mSrnnMPhkxpbRyeguw3g8X7/jqcunvFkmbKb+2O5yuvScv imuSO9mJmFWoQDRNzr/Cx+1rF6r3ARZqgJzMPgHf+S9tMei6KUlM0Db6pPQFNsVXaAS8qCd6k7Q8 NtSt414TAbT/lWtLOoTSzNx1VcAA8U2v6he3haQbYTLqD89Kfui4MNNFTHbzrOoBaJvynvaFWeES UxSIqygF5bz89glTNPj8eIZRFlQm0ldDjvr1B4NSJbatj6QvAq7NHtIlq6neOzl67s5QvyC0zjoV bSCEm7/By2OtxZz81O14A6+QT2tQnl1WlAIVdVu5OK8CTLlcN/H7u6w+AWhlsy2Y6CYksD0XhFfB +Kg9ErRd2SFkKma6IXn0019qZJ7GtIx0VDBRKULf9BSBZUmqt/4e8AcMTzZ4BiYfTRQ2aElunPp7 3cfFZ+V5XCd43Aaool0DUTwQy9nCikQXHYsl/Iq+HoeZYnY/HRXht61/9sR8zQsex0Z9hncuTv3/ 6k4rhSF0v1dni9CUASPFgzurphYAGQJ+fetYsELVrU2gfCuD1M1XaJQGijgsJ6owVULoy/D+sgPq UMIjnv0XYVfIeWOWbvdl5Rf7T4efYkW2sMKZjAKbkKxzze19OgPVKDSK2RBLxL/fwHnM1jjjJBGJ wkojA6j1TwVBhj0y9igm2evx2ewMzTOCPF/7RGie/cSlmkYZwRpCNr5JA2ATgN4wueCRwK3it1Wh BnO4w/JUOKI15fgd7jOOYtoYZb3W6ZRlC6CrkhqiuMRGkcxdwZww7/lARy419+AE/L4imLmg4Rg3 VlJoyweVm/EITgPKXpd1GeghEok5ScZlIuAcIDp9+R/wIDG1VF1h1rXrTo75bvumWdRiUQrUxNpp Tk5xBSTjwOnY/V2l347nUd8ACtxgG/9VWAn9LfUe/12a20XCkHERXFQleWYoxLB5dPcN3nM5lJKt RRO0VtCppPTCUn4zOfFoU4ye6cqIhinLF+uAc0pMztMaI69tftB4zvueoz+CkIAHEfsE2dxroz/C ubT+0TrfrZbSJyauZYpG8Bu0cW0yOaG6A33vDglKqV0v85G15tFkci6z6xiXbFPdT59+Ph+BE2u0 3aVtFBtQM8Ic7stswqeLPpK850OIt5TmdcGcYR7wzEFkydZV9zQg1AnSMBcaroa5RPMqBfWep9lR uw/qgw9rWZFc37MKOo8++XD23ZSLcv5A3UFAPWGfx8iccAjWazRgrTicxiUUbGMiYZ62y+NC5h9q ouN5ZcpFYLEdc7Erz2sakHdY4BLr5v+SsD7dNOm2X9qmJy8eWyRT1t0cHFPiJeN3HsZR3lYKo4ua Hi/aYSRA86eOvnAzUxOK5SHV343AzCOsDnl3tHeA4D5zuTiAuiIHyJQwpYclCzfWjM08T1wIWB4W e3lr3eqYhe9y+ULy7u7Cbm61VFBW5xhlLTSbL2BAbJ1HwNXHz9rmrsSR9ONHbuV1HzXUkTAkUk6k GEY8H6VkGQUij27Npt4SVAGGMOEqjBkrL+5XyxfvcjoW+SSPefyJvY+xn24mrekR90iGw9xsPT7J m0ApsZaYO4dHZfpjMaLIzDDaqkKQn9TSEgMUTkAueeuzndE/elN5u/z5SG+LJN+DhfjsmLWFxvPj UMa+rnIeGJwwo4lh80TtnhcPcIRUE1qsr1X29Fj+shqnHriXYYzvgecjQ5wa2AyxHQZu6PMzwO0U 9fOsNEDUZ0LodJSNGvOKs2yTFjgo5ec9Gs3h+X80H9LLHYPGQweY/ecfW0HfAj2uasdCkdjeX+Gs YhkOU4fepX7QAQU9k1HyaULReMbxdv5XxxZvK7bc0PP6eOPyCWONUXKMci1riWEi87FC5cApoXEI W8d9Lpzxg/W9Fzpq46LW1mIueLhcEFvC1ZyJ84I4EMy6mjR5kCr61KchnB9JeDYHizsaxlRWeYrO T1MebKi6rELLKWLtaESUueJceZdPxvYtHt4tv+xcLk02p7oaOYblN1P9E3NZCTijLMz1FT2xCEfH ea6mIX7/husupgKW2ecXzNc0da5a6Xqnps3AvhyaxbRNvXD14JJmHeJ2b1fMv3dX3VsVk0e2uH7V 4VTvnGuSH2SH0CByKjX07/ikYQp3VaVvE1NKeWNXTlmLJlSU2IgX7QsZ0FiKT51ngYu8J51XVqip 28oig8iBPYunHtcGo+zCcyZdPXIYlywYhmWVO595knHQlBTaMCfDzlM7w+/ABbYmw2kiyNPFH9jj AdZKRn0rPAunMKduX6Ew2ubxu/vlMbiJs4wqtUsT1+mcqJ734SiUAlIjo1npKoSuRAqgb93mSZbL EwXNi1npFrvR9odnpZGWjHXfC0VfSZzRy667+DI/H/Q6AI5PTPIVIhoQEhJm80bpRbu0Te3pqTKe jEyoDNAlmrQuC2f/4/VdZx4W3Pax4KvuwtsuybAFeJS8fOnEkdeYtsf7QwHQu0V1VIm4DEx+Dqa1 3+1XtzHfhIMBxnDK34vPZyq1LmnBplhG1mAhAGvxN3rP7ofjIri06tfGFMa9jDccTXp1mbsmaRM3 8y3ZERVtEif9nLYdfTKyEpPGgfkLeZppBz0I9bDwyzjvTAWGjKu73dcT48SoaL/32E/iW37SywUA ix7XCmPtU2G7WXd53w0BDXUN6VeH24wD2zhdRDMKP4BRhMVANIRar8yvG5bCOYud5+EGESb4isVY NvqswPF+HGuKeeK/NPEn8cgTllKpBnAdLiP3H7pf6DkUmgSYJzllsJ7q/6rDGfaNyQ0+UOIjJAff EvT+yHgcXEZWVp+yj8TG9ptoOJCaW9pHCYNErhp/fNd13pAy+ZR7yYVQrMM3O60l0GGD4dTCIpn4 MZJULzn2GY3FxJqvUPbeSBSqHKWeY1TdyQSgXZ9OrJsrx8FQKfLpGNimuNZROJL2FW/0HcLeoEdM swZHddhZ3Iisba/GmrPR+yNe4e6Ger+US6iq4KtN8b1zdIJdk5beR3pQDLdh2FMum29c/JBdZroS ETvmgZNSt7prp+OMWiKxEXa3jHjE5k+8y30dEHxn4Pu3iWTqM2RSE5uXPROdTdWIp7FF/sWKSdL9 iUveQ06J8DTkL04i+fJ6GGxOF9gQxBedueHvdPW6JQQ2VRQiPI/Yl3Z0VJKKhexqsXCwqXVu2uh3 RvkPHisGlVAoqsoe62G76XeWFSy6G9puF06Gz7zqvOuO8tuCUOwSwqJFFMzfEsS6HkHRYaNEIUNo iQQ6RFSUEW5FuiGwLJl0RKA9Y7T2uLKY76uChY+1grt53cLed2iY+GFteXFalulMCTBrUNGooB/W 3iEHx9+s3fTN+ApBnWE84WyXVo1EjnQ+2CmBguXa0TjJfT/w6JCzEE3az3wMxAuO2tGVex0b8P3m ifC8m7sqnztvlKjNww+pi/LmIxcKMGUJvWgxZet5Qvtgtpo51+A6JtNzO4+qAElBy8UM5jux4Q05 VUrH8LddsLET+hBNWJc62bpI4X3ujITodLMRqXzD+W/6C15LD21YhheDvvZIdlQasBfvmnlu1cum gBi44y0u6IKMPOw/9J0IXD4nwC3StQC4tcs8LDUkY+yeaXMcvw2pnj6xD15841LwNx/+2mAsSQXe FPrHij/0FFqM8OKBsnlpNx3nybh15+c8dZdxdD6d9zbQ/VjwmHPwy6o1XKn2mrZR/7wu4r9ngxUA dE6k8NTpCSSuKrnNQqGTH5azj3P4Q+VKSxK63CxmxAc90HtfU6J4wAcsUdLCCiSghQYKh7ylVuHQ XDh7CDoIBcmgdLFsiaaXVn6mH0vTr/idDZ+IPNsXQ7YtrcekHED+sV5/lD7QHq9dEPBZCkkRUTb6 HPlh6Os52ukLGUhXgvfOtGaEvBENB7hdpd8gEDmjFzfrADytsoRnRRJDS6ZPhjXOkAL94mahA1IT 1nuppokMBE+LccLwHXmapg8n4LrUnGFsD6vongcA5VT7GsDswfcFuM9vfOT0AFdgnzEUJBpXMrNg tVyQXWvUtfbV8kuXV0dGrZcr2QxDT33ULHvm79xy1M7Q4t7mU+7Yz971WBP3vlO3nRfS2TPdbvtS sFrurZMSbbU7Vdx+YAkmA5m1IXei1LPayzyh43i/tbsnxESOl/JFXRRYM7LuKoz+ITZeb5rEfCz1 E1CcBgD4zJSqOYkHndECvPJ6agVri9HTo/7ASkOAa/+kU2Vqo8rt0dmTQfmfyfQpfjRBMkYZYCcK Tb8iNAaaM4t+A+OSFKzjmzxY9AKgTeyi4OHdcR7IutfOz9GnLbEVX7f7+F6xPSJYcG0aRFyfLJfj S/uZLf9h5eE9h17XVfhcP30s0yBPMDjp6nwqahCtYsOVlCZzjMMk+Tm+ogOY9rim1Hs4rbSj34+G dY9MCulEyOhbJp2aR9ax8EMJvMAsPZO6YqmLSwtKKEtknL2GYuujddmjwVUarehd36Y1QBbw4Z5B m3WRAma0QU2hcc3Zk4Dz8YCJCuc4YmzH7TQbGefLBh541fbjTRg3ZdHE2dtlxTp2xIvX7SFHJufd VNum7OVW8Eay3wvsU5obRZ3n9+jQ2RB2k9ko2kqdhgUL1REjyBKKNZ+0GUDE/gy3YYeMgujytbZ/ Y5GRTrsINMWbLIvoVZ1mv+nVuk1c8UVEdc2jFzSICfOYD9zkdu0SNTehMnPQMzpGyk5//OZ6FOiW kW7l0f4wBZvzCjbhoepccgQDT8k0cPBO6xB5R9Kq4hzAQ83pCpTxieD8qsa7mhRyxgQTIACtuLri i4gc2SJ7THoBMr+7rGb1T18wvUbilmmCpla2HApVoLpc+c90HztCnV2VtHOof07NePOHvBJJg+bY K1nnUfuyg6US7P23Ep/V/baf9BqGCPvsv6vrRs7pcBIwxod8XVu8RLnchobRmmylfMXFXobub1XJ jjHNPF1pWfNa5E+ojXA6SmCJ/vKiAfbSnvUFg8MqNg2l3buGlpWXVtGjb8Lk8Bf4Bx3SanuE/sQo WEKs5Xo2BKAmGDFK+aEVEeqDHStsjF/xWK2v2D1Y1GcreBf8ef1dVIQflqkipFUtkDBgppPXYwyS jwwTZpIzukxQO9pchhtwQrZ8EQ2bd4uGdgfzywcuuAeNdNCo9FtheIyOm5Za2S1+ztnV5WIhg5sQ HuBtk9sAbZghnsRcxHcfctVoJHS5V+rhI4zSoQ7I/iAl8lubcM6u0froYCHQCBEToE73j3zi8aFN xaOA4UutobT+mi95lL4EJ/h5vrfgZzF+rnumX6m6FnVKq6tpRDfC70EWOvQgQIUgrOOgn8NP5KiU LsIOa3d8QrzRlmEAOWa4LpBVAHdhtBw+HIyNvzDTmMMTJQkVTOtKzRnJbX+F8mx271iNJD/coKtY ZB6z5UhH8otPUYVib2ApOOQG8umq1i8EyYPKDsDwdnliWGDx4vp5ZVM3t2jbNsw2kXoD4VDRnZ/G D/6ZefUeB8Zvmg8IbBXjT2AxWS2JsHt8qiZ4plyC1k3hp/mADppdJ/jrWGr4wam888pxM4jXBddc X4SMr60ScU9hVmKzlLNKYFEWjH+aMK/trdE49gGdtWQabDtU0a+euRSTRzDZkt1+D4DOzkVQ1oLd SkbXzwsi3mfvj5Ua+1o17JaU5qHKh02wvslJdSlBAPbbNpziBDo9Ietz8gF9y0UcRxFj69bz6S+o vNX2nyZqHwBG3tLpJbew+GRY8OS0oJYyV+ZOxzqxMcT9Igcxpv0znz8zslEPSSq2nEd2Ol9Q3EQq DkDT6CAjJABS4Z+FfRuPShZQ3cwyc13E4IBo+RQx7nhIoGKSpchEA0NvQg8Lm60p0TnfVa35lrhL xvlgcU3/I2q8QW+TYxiI9JnK9tiGbp9+YqkXahJLXPDcQQMPSN3MmrysCylh3dVmXrtjkrD08UcZ W+UEAfEP0MiZhPxDqu5sgLeYJlpgOGUBq3mZuWKAU5pUxBtLL++mroPDjoRfmdKtfqECaxdj1aJm 5s/2E7hngkB3SjBnTJDY2yaHjlD92k4JG7xwrpstlVp8ZBK5TuxOfxjG6eQrv6/R/DebIj1a6yAV RoixXFK/y9iPxNpK5VwLENY2d5Xx+iOCtOIhHZQiQU2TcXVeNTBYU6/WMXIN93umxO6BE0nrxNpr GrEAO9SLk/AhbhT+WlvsLqjZDHqshaLKBv8VBBjKrYK908c1FTmMrHjBmsxzeSs4r2Pb87pnPYlJ J1fvDSeXsQu7QW2ovKntjwZphmlkMBJrKA6ao+5HSdW+7pvSWBM8wj9NMEq57oOc3imnL03WwROJ uxDuMX9mLt+IDFSb35YP8BGh3hWXu14rz1V6jsIuVng6op5Kxl55jtVRjXjVtxFvuCv/diprKMVC FNweLVLlhrpValHvES0XUYjkWJ/u9Z1Gmy3Qwy8SdBKa2I3PIyvamx12XEySE7P+AC91EP6dFN30 CpwYnknRXWH4ybI/bTXuhTqL/m8yuGoyjyl8vn6RgHOHTNwH5P3sN3wVUf2fgRyuP+M0Q5fuSnJM lGfBQVlONKxxUCEDMxRRMhqLpl2qX4TrcrmhUr4bSKpg1w9ry77bJwNu6cJRT6izSu3zkpSbwm2k BiVVAYtyuYTAb14YBESh3sffYCsnylYlCn3cqX69eju+rf4NyXyonItingl5mRFWJ2NWFGKxwMDV k19JAOfIQuXZjDlQXdZXchWnS59Rg4QfU5LRztwteSx/U8nFjNfI53HYaPaA8PkZdZEdykaVoa2j EHiQr4QNapfhlIscVELd7T2U5sGKyX1pnr7Kt2/0ByP0PaIPh16BsQTQuom6LcBOO5mjwoFkppqo 9UOFttov2br+OtXNMnJDIAB2fbDRh/RBsqewxo9Tey8mlhB5bdgeIMjVhZ7rNbDn0snAwwhBJHhb sFkx6JgSYKYpjsKabZJ2ZQcE5taZIaNq8TeCHbOnTaDyBbHb0TEn8qgCzhljmYM+QB55dPi6P63R CpBs38t5gEd0k4HaG859nli+I4vtDAyrNTeFaC3zuMi9v9x17v/nbpn8z7n80VW3n7OLOSvE6blP wsifN/dnfRw9a8hl3yKZFUjYqkpaDJlZVO7hOBmh+lQjAkHlXqNnwdIByQRtwo945gN8oxntmz3S 4kPWyY7ocs1dlWdTrLUAve3WsVVRhDYKctvFpfws0exThCtJSx3y2N0cVXMkYSYIw9RCLK1OdLtl rI9sq4wRQRPOwvx0BncA2zn/jNUVgdbHlKuFkNOR24jajTh0CZKAJSQLPMjCG73o2ZPga6quE0zb 2XHLGfkkp29YgFEikDBzAcER7N5LZHg/FDxgwSHuGlXdPafrtLfL2aAC2gcfmHcKAO8GLNJVErzd jDq5g5eReEXQYi0qJ0lyI8HzkGvgFrT9y+4p/LsHrG2TMaoHyKFOSJ5rKttBTLSHTbT4T+C5zhbH Vs0Eo0Q8EWWHie5wCmirZ3EezLi6cXg8x9GoVCRgSASKcuGNV5mUSXhS0xPc+4aPq+4Nq53NVWEw o9MuOxIMoHtCB4LsiuNWbc6TiJhXlcHm5Fv+Ze8NQHD+SKiPKkWG1Wk/ayoHnBIWNEYGSBz7H2IL DH7JkxcWsEprhBLa9lMKdSQvcguMHfWaJGT3iQDYbtUexhKgp3qAeoQHveOPbqQWKgT8d5TL+o/z eiH8ykIndFkZEF+veeJt+pe2BJu7WjRsa2GrkRyjNVQ3bojYNRSjgyPEi13IKB95LqFxIbUgsp9L o7wt2fRl50Kkt+dtDZkZIG7+h3MGVARIeytl62fYEPCX0HIMK253JWQcumOJlWghKt4o32ywacg1 MFT/iC2b6x9hpMA8RgbSos29IwT4nAibwMYhYHA3bG9/43QYNihoCvdmdCLHKfjeWsJOuCwHdMUI 78WuSoOIwx3OnKp/x5hGgVKTppRLjhrHgzBnC3Yf/5tIg+82TIIjHVovfL22v1b9e5nB/Kt9PD1e zD75OCgNQutrqOZ3+B9c1eIux9WGy+NsSf9rxbe9EXkOfsWtAXVaS1bEDIACOEc3gY+/bUwloEFS HTF9lkyJGfBBd1Carj2qFRqFTeMp5I1fqpQVe6ZfsYbMO22l4GPhe8KNDnlD6Mw59fe1uH0dCJCM lLGKB0VGXORzh2KfgEjgmiK1ZpY1F6P0/9yk//5/Y7n1J0ZqTB9uIo+07lq7ezFAvva7O9y4ZaJE ewK4o7X4tS5JSuK1O3hcp8UeroWWabP/FQF9qzgLD6b3vI6KM7llXliVfd0resNzrlESGSKcd/EU 8bvkYYZY9K72DtBxjwhsF0KYhXS/e9RXwIIjhds3DhtFIAmmm6L3PCdScI4oLH/x8gJIDM+O0FTP X6W+OmrS9FBZQaNxvQtsIcXDMS6pjccxjvo57iAC2SgJAfyU8xyGXLzTU8Yct0Pn1kcSJQsOgoi0 brQxNOkh5rC1RoyLWu06R0tlZSk7E8iAkilA0Rg+xB3ZuJTjOVWQTvYveILH+Ub7kBOpBEqxGi0N w7E38hTljD92fOEFzgxsrFJRoZeE0IUbvYhD6aB9qCu3sUYMn800MB5mMUpqIg3MkM9MyAdJj0gf LptmUAsO1MsRZfH4+c6eWtaLEvK+QFCm5hGT98D4f+Mli5GOPy9CJwyDSTrQtZdcq0qz+In9/aIn tOOj9Lq1MFyTD3rA8/fUW0Qm0FmkooQpJTI7GbgOV2YXCl2cCG+/dTjp35Ygmvu7FqCCBNNVd60l h9ziY9eKD9OLWMner4vOvJ2QFf351KaksApTq+gEdyJG0g2/9BaNUYwelAcwkDE04TvbrQ4X6i5Z gIKD8hCz0fmrCiXfWeJjq4aLcZ7Kyy0j1dxeYzS0U6841VvXkavdKlq2/1W8dCG39F19yzHFahIX sz+rRKDE1+kJ4E4D8efqoE0CpYxJ5gyTMGjfZxV/5G0rBs/wqdmRUfm3zS8gtyDl2rvtVfoNHcl/ ZfqGpT2uPaf3TUrktBahldLrwnnzKuQ/Kd9+rpaGHAORQT9+a08VPgD+i+yNTmtgMOV3NauX+kRf KBtRF0742OEt8w+EIeuMcOZH205RO2fQLW5R3m2nkpNrLk0v7l6dFsPa/XmHKCkwo695Dbgqw6P/ qBkvC+2kXCSGAN7Oy62+zrRP0RJcEG8foU4TNIIbiOm9Sr93STVCIZaTQGV25hCLsbqE6uLUeEOD Yk+sflUh+fFMOtZmIZLK5lqaLOQ5Brdr0qQI8ysDJfhFc/1HZNQJBP/uGduWhGDIH3T0S3cU7o8e prbnbaqhl8LWVW8Sl/+TfVEFpk0JjecgWQpNPwVQtBFB8vrNVbwSzmYt0aG+oJbXbqADPsFhKqkE 3inGfUWGVXyapJ/Ep4qmwLj7xqYhUGA1Al1Zr4h1R23jw0inV8Fnss0jT1g7MYzeJF+e4ay7xKWw zhfChwu8k/ICLIcbbIGFSppuNX/TxCR1x/VeJUk3nM/688w8yYCFJI55mJWUdGf+AukWuTP3Pn5x ESnY/cCxukVJvnUTW5jqKjIe/uLB11+Rz6W73JawrqjoNd5RHbFqGGmmZuGHkozQMOHKVQHKk8L3 sdxq/+pJBkjmnelpFUBIKcVmtEQON8f0jhSN2PgvnYUeR0ScuY4RZjT7ZJ6xZVU607elPCHMYjiT EfURNbrAyduvIwt4KL/JtAvuQhpEK3bL68TNxwX3/jnkpuDfVXfBFMV7MfuDqUeqAl29JFZhweX0 aJB3O2skhH1Nyt+Qrb0lSOq3F5ApL/GN/Gr7D7vn0jd23yBijVqhCpj925FtNC2mTQIt0k8NZIFv NUm6oDFtYcY4Ibn1gHl9shVuAHLmyyh0kPTYkKxoALQF41LlZ3sHCy4c4JjP9KK4VY9vS+Z5YO4L Zgddm5a0KuMw4L3Oc+m0QW2zzI9FJ0hdjCgugI1G0mTiv/EU881qMzwEfBlKd1n6bFRp6IEq0H2t NEetB17+VSg3TwY1icCWpQKutdGdH7wbyixpymvN0deJfhyxd41FXZFfZ+HTYjYx9kuZYY6s03Je mE9wtrXpm/aTup5rb0tzgCbag7j6B46kQYKiTnghzhzizqFPTSTg6FwTsT9uykcY+tntRFNPWw2R 8wIpTdVLOxwYrZTxAJXJHl15ds3CWPAg9kMlcPAT3Agj1s340KsybRpBHDzlfeESSDWy/Gbj9BE/ Q0c1V4Nn9tQXbCmYzJWPM69w7IMiZXw4SOSUU8rd9teHGNcKFWYDBWUAZvAFdujSWH4y+v96ks6F NXTDr6gOIqQPikQ1pVA+0+MpqI8B06TMs4eWwKp2B8+r8HpaPjWmui/F7TU2Btf7nAO+LQk4EfXy QfRVs9KIJQ2i0PbicLxmb1GiqcAsoixvkthPKRyhZZODTS097KhCa4slr0szwXHaWf6Wvl972GUv OHh7wy/r/zwywc/PEN7n225MB6Em4AB/Zvb9byr1JkQdZnQjtgpybAJXAv/W3EDDjPTmlRYPpdwf TjuY9FUp92aKaN0+U8dqVuyN6ZwanMTb4ICWgsKSdCoSddDMwxB+ejnkbmQ85rRmO3c7FuOE7fX5 wfnvzIRSDmWX8BiOvsPQ5PYxgm6D84dAp4aSnNaiIiVwx+b2DTG9ZDW7rVd/z7c9vvNdlE3Tnfsn belJC82eBybkVbWCas/1rMy7S9SOobnuUbARngWFLbcwVRXan/FmwuFyoHBLvvvFAwkkLKdIGzJr tyNk7ICd6nenVYIvpsI2DMkDMKgWi0BZaYiBgefpKCovcEydTmTmOyC9TL1qf8jqknBgffWvtIqa quAMmkTbERAGOe40doLMfd3P5PjfrE0JMZtNeZ4eHPepbrSA/dOnrml59U6MivVmA6h/9ziuCBvJ hTarkRMX4115k7mXFibJxgOOW0FKWTdSIUJnse4FcXHt0yfOljfJaohBIMWpsJkiAy9adieaLK5v xHg3qpSt+/sv9y+mpqBOTJwf+cIaGP2BQWIut7nYHaYmviYVY7DCKqfLSGwbctQqrbmwgQDj0efb kSvmf3yXRnX5T3wqADnF0aKsBXYLaFuZ2VYFGPBdX8ywOCZuns76omjjd+aWul75dlJoJlm4c9bY rK2ZDmvpWpB26TRKejonfeeh7tOAsTHK1xa3EyrNva0vdmbGwbW4oovwk+KQlvDRcpl8uyckqyNH 5Eh2ia0IAU6guITG4+chBlv3wjcOwcN5yFrMv+RAkWrHx+QnmLqwan2H6ZWCdPJ+xJVafdWafuk/ RxqwFLHaXxOKQKf3xfVbXIkKZAxrpSmhAL/R6ifQeiNwaZxhTurjqA9Aaf9Ers0/XR+v7x4kV2LB HUAr+08l57TJBvPnYMrLRhphTcwwbyZ0MfwiePqgMAGpS5veI4baEwFq0A9flQY4LMFp3GhSJkOM EEBrL9BEY5HCqsvjkXZ7IzY63OdRH4tNJSBn/onYI7OGr6SngIeBeFcQ9N6hROUsyoss5GZvuFOg 8HiDy2kFp6TCSVeGiJT+PirEir4P5DWNWeN5Bw2lf6UJmOrQX7MXYFREunPrEehhWSYQ0ezKlENd t2s8FObIf2khI6U885kZa6lKZWb/mXJWXZ7ynyqKYcyBxUUCDUXCaKdCTucWSBHCZ3AgPcVfLtFC +tp7A2bu9SVmi1SGl1ne4o8kRCA/gBs8r6EZRqL13kivXsMc6DJkHo6iHO7jaFeRCscQTItGqBhX fVBZEzOaA8VLqvHEQydrx5QP5WOAvK0LvCRWqlJh8zDGPheRs70HblcgtB6qmuiShsQXd737YiTL 0r04LTiPfitCy8/cUnaaXaeXK1twnRt3uadKFMTK3MkO1eOCwVxa98TAHhnnUI52vU6Gxn2ZmS+b XvpCqPIi/k1o61I1yF77aehKTAXEoFfqgQR1elyMxx+3uyvB/nxbVk25qDpOk4N/DS6ix81mEBlD VPyMtA0HIFdQ9cYaZQDKGJYdPfkbt97XvbZmlBfvQcSjlrwfUgC09QQMaMXt5O4rfkOucvxHz+L9 /Qx4UA7ChNr8cDnVwuiqWOuvq2e4AkJVBKAkJSeCUxk3aPcg4eiqTLOuh6ieR3B0l4d29nk9Y15N 2eY5sdsoNy9WR3tcNNquvfgkeOJQL7S8JEgHCy4gGXvcS4Yhf+asAFBZ7kgIzGXqvWWNWbGotv5K TQpujik5ND4mMxe+zKLo11n4P5IbmWMNXdttF+HWgIyZDtdGJB4IijFurFyoDvWkmuw7Q4c/13rW o4SMzy5GLT8NOlPcpGU7ixq2jdqnnf0t6S2gqiW8DkKTQ7v/beC9RkC6CdqFvte3do/PPxrvgCdr MhxgHVoXeDBtrgTfsm2dKCXRip9Mf7LCTFbxbXl9yapbnJOf57jT9OWo1A34xYSmkW0sE39vv57k kwogit2/VBVvwV6oXU9qcYO/UW/G4K4Mchk+m+zR33g6Pk+NglMN+pnYW/E0Ihbic6DSWbTqzk/C PjuS/k21oR55ytLJgylZM/HIn4y6js3gXd/EtMVOt1CkfJheqSYxuiiUN56gTaohSEwd5e+bF7C3 ykSEvzeYa2E4950eVknBz+REUmTcfOm8qZrYwXa2OdCmbY/DnKFK+RMQNHiDpp91WpvyQjDDYlTn TxnXqZGobZsqa3vLst86VTn9nZnIrFSfx3vTGjNVdLLF9Wmytl0L43vBzjjqHl939+UODXmMAQuf Cb2EODmYWJF6jveJ13docd/4VPPXeW1a0d7Hk2wify2eJvd4U+myWsSlMCqgFePWX0ztdHtMgNSE EjHQ6vOf7FnuApJMD4jPVfqEQt6tMU8+nBOMW2nD1nUi7qzuPK+/5RBqRbSxavHFaCLrPjYQaw87 tOmHIIi+9OE2xVmVTHJr0Pu09Q/QjEfBs+4bWtwOSfh0swfzA50WgOAhp4eA3Kg7IBZl7VctoY7P DJJCOyBSS43MzY9cg+HXZOo5W147rJavzFDdntGhhvH3RS21yWGrwwxw4ipW3hIEvM2ksv96Z1Sp OXciHhTOtzHFAYftap5cG/ZKlJFjHkV7oZr0WkQhkhxxMHCUFI4SicYxe3lKcPXy+Q+/MQPyYa3T FnVjD/YLwIE6esHaC7qc9rpSfSlLEwNG8FeGtaRB3F/5lHpZVQ3X9WxGocBVJ2gVUrMVpN7rsIeb 3eGxTZu7NwEPR+W5ukmil4tQ1ug4FfACDzpsyC1ym0NiCqd05Fe0lcw/dCeDVBbZYfK0wa887VjM ffcF/GnlBMnn7d0fVjp+ZeVwxCZwzKUhqfT4+w/b5VDQzPIg6BxbhwefJkKpo04ZbBK8350MODpF iEpbmGoTeSIUq35SfxQooaMyCE8uCeuKFzTakLZC19Yj/3jZv6UdbV+x7tWt2R+KfB++SXEgSweH 1cZ/s6wRwJ8NtHjb7he8mDzv44w527tq76YnX9/dWUbVeqTJu6DVFpQI8LFf1g2R0fedr6X0lRVI FCc7Lnn5CcH4+aAsWebsN9aMRHn5CkEPNrgmKEpSlg/M6kz/+WDfWlDVeVo9bgNLsUY54TUiJW7p I/igKu7zOTXrxkasst8EMD1QaSlrw8nsurQgiBrTsAQEtICs1czYWVv/KlR4EbYdQ8fwZhvxnPCN i2zcSTTDq9nKUWxB0/Z3PfwOX//3gWqbTNxSJ5T2BnScfTY3+qpV+4Edtccltd19oPn8Sab9oEGw 5xb0J+QubB4ccwmYMpY6B55+X06Or9BLm0JCdsUkEbyVkqFSoqQIBwRsiTOK8+gaNgd2sFzH+08L Kysq/DDGKqYLz0wkA90x3vk76kP2hZa2Mq6pJv6coAH4P/YychPXmj4to1vhN2OTTxForVKA5EpE cpWCJJXWJ7aJuu2EA96RvnvoHU+YGy9yVfueiJsddOlMPz3Rsw0lXL4TkfUz4WI8clrFggrOAGMa jzej09D8ATp2X4Jyj8YEUnceqlwYLTgwhZEOxOUi/83nPCqXpxPzOYmA5/Dk4UfkHH761qJth64h H2ztdpFqamwRbZtLiET1WnM5FJJuuwqENKgfxiT/Z0xyTfp+AVzt3SbMGUFtiUe67hvfWl3qX7Iu Z59wUv2aDV4bQ4ZkccMqwtjJ+pgFzaiOo+3Tlgiwye6McA0ut/XgCvQm42hGrxCFceNMXgYkCYmT G8d9UrTShsK86z6jrYghkqLrhhAmGj1mTHKArhgYpEegeem1L/vwT4CwVLhAIuO/xQUbRdFjF12M WBeid85F5f0VCCV9sF0Gs650OPF7GChkuQ2iCzQJ6icAJsoBeqMT+T0CKmC9QDgQIksJB6W0f9sZ WGFEoa2gmMqRBHWUk9/kugINSnh0lG0sOvHVfCs35XsoqaA8IRW9HykkJARZ/G1W1FVNFdr2CuSN HHRANWMPAenk+vrFeIh2wZ/f8ba/8krlQ3kWYas9OeCioP8UKuXpGG7Rh00yJiDjmEqeNelelnor m3SLYzkggIpck221+fGxnxsHQ11vBVibQG/nzIa/c8TQlJAOjGDAL3IaA4jf/8NiFL6NWa7SWUGx /icalsEwKOHl3FepsPfQd+fKejGsB3Gq6E7kQQdhIWPevnFVkwLunQjZPTXfINez2/oeiyLzPZ02 fWt9daYoVzTgnbVTtj66cxvnmg+eI3bWNk+Mfe8bpZ8Ob8JdWRIwaqMRix5f7mg3OHmt1RBr53fd toVuKFCZOCtbFn7G42GuBQ96EVX1DuCdCe9F4wO371gBEsPf12QwnKfopf1FmslChYK93dv6LuAn SHxipUgctzW2lFidi6NCNCr2yTmYkPHppqqKDByrKKdmwj/0uASXovuE9v2idfg1vSpUNpCG4yoG oid+BWEYE/YsKUcztdUbt17rpf4bvU3LoF+PeGDaKjuOQoX/obeZvX/0uZMIPdNJapk8b6vdxAC4 vEuFblxNIgzbyf3ha/wQcRT7FlI1KB1MTejTQdB171100iNZeKf9fdn/Ae7ynrGzfk6uP65pq3rT SAx9D2OQ5BWanTI4hyMuvFTu+lzflrzayMjYk+aYWZU31klVFSl4BbfaQkuEJSA/7UXOqkWCz3fp vyPoYFComXIFnej8LEfoTN5J0C0GOaCqYCI/+Ndf4yNE4g917/qmjWSTZfOCb6tC2vrja8FiKewW W1Swg1iccJaFAs7wkpsRpmiCcQIu8vV5LHh2o6uT4NXfFfMYNQPkZjt1mOm3t25s9e0st09wl7O8 lN1k7n3x3dIhIT78Cc/4RK6vvM2VMnWXT09UL5kFwjNIBpDP1N5t85LtrffwymA3sLOmIGS0X1mD tuRm3oaKMKNdKoEJCmDma3P0uGHsxCUB+o474ldq4JDDDZV67wukhskj3ZYsnGFkQYU7AMMLBJ4h RGUvoTwSaoOeUNbcwkWrPpFu5BT4ovYfchDyPEzisiiD3lJQW2HwxsnF4gi/n0mkm3Ias24SNuGK hthyrpcRLYakfgZTsfQmQaCgrk2lKV4/iXbYrmpuU5xFj55zv/IWStiqTAeHrppgi8y6Hcv2cYjv 2v53PQAH/Ax8KFeEOnPNcjFFWjKDFu1ZdP00iglS1Gg7rn+D3s5lv3WaI8LdVYnww8GWuA1/TN1d 2vupPJrs6HT1S8Gqhv/8oYNNMRevAmdT/F8Sh/OBJ2wXyVdcFphNrEupwXLlWbTjDyJlzh7LKzGK QGW+e+SyxclnGX/xUZMPUjSHJt1iZArYTYFGM+1ADFPdV+58v4JOMCGtU/qbHgsBuV7dlJxcNeG1 nXd/ChoNLulz9P7L9ddg/r3H43e3GiGU+TwV3BXoJWU0VeVxfiwi28Zgt7pbjHjtOKDG+BMcJVSN gndeWCO+iEojjDg3rUdim/jut7EzyZfaXHuTM5udsGBPgDz1i4o9/b+PN7EFNlXib3hVyNk3eivq ZF5QQy5t4PAGWoxrCIs7NWHAE7OnUTwxYL1dR9nuwv0FAcW267C1krxHqXvAhd7hQhQHxEEfuabd V2qj1T22lJDs6q29x9XZXRPNK/YG/HbFih4rMx6Gon+QhKBkch1SKk6HsjNoecLYBVGP7BXQf1vw AvGbLEovrnmD3QgxZoZzo2kLyk8TQ4Hna+Mrn9Ca0JhAATeXHhlQq9wSCNj/O/lsr7BVPccNxvM3 nliQAwN5UqXYcRfXNg8YzBmTrqI77AgX5upvS+ENMcm23BG83sz2v8FYNHTM5AwEOZSr+OONlgTm Gmip/x05mbFiVQrlq0SCVwlAAI9uuxrEGiwCPaESQXO1rUCJrbBNKf/1wIpEVBsK/s8hFdUxqaTe 3v6QHqHUclxk8jZ2+ki5hOmDT1FkzvJlJGzEvHJihOf/Usg5Cbgyr4P8z8tQh92MWu2O56e6xx0q CYLvquZ+tlup7J28GcGrHxCpfjtqIfVb40T2A6N5fP5+++UuWm5/oFsX59mfED3H5i0Yqx67oqZn 7LC/0zv6y/Uk8CQNTKOSIdfqtAcEN0oFmqVAp9qxS/9mNhDqeegFnTPQSjdQ9OhQQML6TdqG0z+R glB21ocjg3uTgmyoVI2wfPqKuLaYAxVF8OSQCfrOuUuqGl3A8DjG0A3D6sJPtL602sVhOJ9rtza0 qeCQlKlbO0GBIlGVmbeRdV7p57oU9T1IhgHIej+7JUPiMNAhnndNNWEWU4GrsRFiQIb//yxAxEs8 RtaDwvqo8IwyeIWH/7UZSrwML8zTIAd/qyWR3Kqe1UeykMb7TebNP5s6MFQ+GjTCJ70Y0y/RwO3T NNEoF0As54JP7ZorrgUmHbmpGwg8nuKAmaWKtsXBxOETdvpn3nwlv12Z34+Kkq2d/tswGUm8EvPK h1KgVC26knhoaJYSc6ldi0ixnedBClqybCOi0/2FbX36MBw/GOu3Upi30RDj8rSmZMroGytbKxtm 05YkS8KM5I21xbWGCT+V2+x3UlxyTZnLsGo5zwaxZh5Q288Vj+tuShYPLPtB6fZlOFNHkI/ciMWz b0eAEt9STyWGTYVUGnx+jqoskOeoHMJvS8zLCb41hCYK489bSCWMn9CfiFgHx8wML7Os0v62lQUY 9KjSNjmymKbeDQrD+C6T7gsE5oCL9nDHCjF6Fi+ihyIVF+w+ePu3vJpXa8MXur7bJbdd0zW+1Z/3 3PF0ITz5C/KeiVbYgiWxODoD89s4TaYcWm3l/RmLNTuQzDukE6UtSKqsOp8Etxb5SItA6tTfzF+Y AsAXpH8nqnAodN3KOS8JIv4pZhIjrAi56YuUuG52H59KWscT8cm1pW4oZVDS1aOljQIhMfddkJdx /QLmnz5z/ItU3A9kIy/P3KV8r2zbbd1fIs0xGy8Ag+1lS3IRVXjb/2cpws0n+gjbWD7utsMRHw4G cvd/TGEilgNa/efK38Re5s/1lalxC9Sd4zZTw25ZMRXe2PYZ53LkgRfFOH696/+gMZDgQqKwq4TR sN60Km1kmIDwB6OKFluHXaUU8+N8rlF9l9m/oh6Sbzld9SzOHNu8p3Oto1Qt0E9YO+3Ekh1fl7ps kV9XC9zo0vSPiW9ujBdAdeOhRBvpKNrHRRqeUNNKN4MFIlV2IN7vCcWFasTusdRKvhiU42Kn/O7D SnJPcWTSNs8xPHzbZQEmRl7AxR9ggM7nHu38G7OztHilGFwp3UH2rLOzu5OTE/9MNsFz10re6o5x qVWztQI3fJlMXmzxdFj8RdC8zoChdJ+JoyltrWbKRSzrcrcDIgpCLWTDg86O/lL5NN/XVUZLQLyD ZBg0srBkDHTc3RbzhB46vB3lu+aOspE8VxHk101tuqduM8mMyrOqyDU8Zvhzs0/anyZNk6PAyt+Q Uog213h2XAhnqzRVXTz7CBiInssSEoBo5Ftv3Fdo31bTAnGnPAhLxpJ7yBoelkBDWJilKKRgmqAI V/TsDw9FqgXXK51ocNDHeoHxkKHCmnQ2SITNtknJ9EF7ygSclazDdzgYUg/z+VjqzirW7t2jGS9i bzO6+hisAIXR9oEDvi61+Evx5HibfS95RZ7y9V9XDkbyb6PFkTLcjLJ8PUmOfnmWpWcOkWi+zfjD t2FX5QQAFxCzaWwnNDyjnOKDCg+XPFTkW+kkJpLsge1581gBjwMX7DSgbZjQ4dxz3+qh7qTfqb5m GC6xPVc34ocEvr8TcO1HKNtftKNiAxFClp8IBTzCN0zLb9gwCKY7kvBCr8FSIfzdEfIbtlCU6Rz7 HaYwIyiD9K3Ng3LnbsJTHWm17Y1yyJ6fP5sBFxYNZYLu4D5wnqXps7zJD1Wdfd112fEdhKG3xdA9 q3XkXRLBsDXJ1/Mx/7V8SaMZMTIw9VOUJQU7wjbYqxmMcx6lByo7fQze4cEHoJFTQ+fdH+fkv8Eq tyoUyIN9PjEL2rUbMHXNYgksdberjz+DzHr9HneVXEQAmdbOQEhIEjmRGZsQIzwnqGdhg7oLeQmx KzhgH0QMRMB9bdZ69YasHBpuGilLRvP5zykOGeQK0PhBzje3zQAe/Tq9KkNMZyI9XBKgyRP+dqKl 9xJgV5WkvnJyYcpyq5t4t00RtbeqwgE660FM4FxvPsuj8wIwpWdoOAyl+zFNHY5DVoNS2TKaLYZ7 R7SJqaRvEjVorighvfZo3hGFLsTelT9NwalasgKz1pTWJL9bzE+PICaZ0V5YJ0UQdsYdB+oyHdkl Co3aPvK4HywfPh377JwWTBb9c2FuXHXRt2UJB55SE6zeCjk+BflZRMaLkHoGMbmYjuhUDbSWql7r uwQeRBWt/Xu3NlH1sJcUkMR75jA5DxKQVCk5EV/JMOF67IhCPiFUjr3qMF9f6gPcc6h/oHt7bkkA 4DKewjDj+4u96IGsay+ioycHrAW3ut+fiKruFgifN5rzAHtLOnpIYwIj+GGJZFUAgatIeMYYvXSN KdvmQom9MUW/xmbZwY2jM982JAkf7BEzcEGg476mzjYfOKo21x3CevRiXrAOh8j5pF2g+9PMWanB 0Mmpm68AKzBUH3JXw9Z5qyvDNV8MIWO3+e3tKyysRGI9M9VMCkWN04sqSd+3+c2Yy0YzFiXd4khS m40a4K1wF734yQ6/Ec18PXR2FwjlUNact04zgQrsuOemXALW2eQukFYvIKfrDBpMYlj6ulL98+0y X/2Bqe5t17rDGMw8S3L2koFhu3kS1aeAWVCBP4AfpeTyNhQ5ew0zTs6pY4/Ky/lBvde2KOfXa4QI bXkUyz7s7lq3r41tlniN8mjp6ODuRbW9baBxdpfpoBldc6gHfPB6wnQDRcAyg11WXiVEgGmYjO6a 14AjLFBI/2VmWoVux+aoQJ+xGPsrUwJ/r0cH615JnL1jvHMvidE7m7ZRSOt5KKW1FqrsZdxIW8eH OlpML1xYMnZFhqYDns/OMZJ5m6a4YEM5BNtiJbaxyFCd0ocnEgf84Nb/hl04TlDPe2rJEiUl4iP+ M9fJ+SVJBLBI5gL8PRAz/s43uCZdtqmawofMBfXfXREQUfqBbjayB7xgqXSbWhOHnp87KyOS5G2F IMgiUJDoi5GAz3BypIZynCcoMItTcPwnfw+w8+ojs6hJrziKEOv9aN+Gf94ptWjnJHd16r1PmMBy 7H1pp+IYC7iHyU9uo0a1QLIHO2ycQcRLtOOwNl/Bx/OVLaJevIbxab1hp06yYZB0ZxxxfNnDaLgC sfww/mBfkpSBBZuDA69frSHuByYnBkJpWO4vyUUTUAZTd1hjXnNouRwReh2k2hCouQ0fzhUKigYx 8qJJYpK3me9fIns+7HfersIr7cpm6j0sB2mGpiqe7CUcO/J9ONjw9+N84As7GmNgKihvJoh+dy4R jSpQBwJptq8z/QUaPR5wImwDvlpps4l3/phqkpQV8ZtQCX7oPmhB1e2zf5JVCDE/aldf+be5PngR E8rx6bBG9wOpj+Q3+teVfGw2WnUlCDq3cxlCIr9KbwodaEqIujMd0ibbV13n37PnpU7J12lz8C56 a2VD5xxHMhtZ3rQF0FyGvjJY4KZnKRiypmkoIH0rG/wJ+5vF2R8lIxAgp21BTFzbY5MiVv9jv9bV C38vqksgf18tiBpIsSW6AlhYghYEeGFbtGdgt73ck0B66bP4zUgm/5KOWCp6IZ77avSS3QoVrdtJ C14ItBR/KScyT3DLGquR9rR06u8VYillo4AOUtzsRlOJKebbuzpqUEwpGhNzctY518hdgxkkc92R qBlRXQ7nCTzbC+QkkTf8F5Jce08Mzk8R6VGkR2vVEPM1SD+ZQL/U7Zd2H7dpYfsRMvGiCULz9WK0 2ndRxtDrmXgnGq9HOBiTWt4TZ5BemAYoQNC4eTybrCIhbqGISi/WLhnv7K1J3rlIGASZ1aRXxC9O hqJWfmDaFL3r02HsqnRm91IY2vnt1bZ1Zw+mfHvi9MOa9UXnmAq/2po755DIXUvvNASJGQDeH+zA 1yWVz14yArfiDq/c/1gKN3WvrrfKoTObKHJgw32OFdgHqvrz/+0uF+sNJN8l9PY7q9S50HY07K74 KYzIbRS6Rscm/Prpzy2n5xH7DbrFLNYbOS6+isH9SS3j8KEshHIjVBZxKtTMNEXTbIi368fAdFxO q4T0GcvEhcTl4y/xzgQoq0/3wcyGJyAH1CWfXiMO+yq6Kjp3aC2RNtswdbDTb77pw3rMaO7voQcg S7OzDo6PWf3RZLv/lkVcsLholuRc8yYAncjszeRmU0xAtH6ayBe5jlPQfFYbzb7VPpwP5GemU9Mz +Dzry8kTdqHiNQvoKrJ3iHYYle+rTA33Ly+HvMgkLvYoYo6BUe11YTgU9DlN2v3Nsmy4zA0DN988 vfdR4NRE8f2aHqy3uUrWlslE4LBp7XBm4c4XdcXtVnl2GlWbC038p8ouk9zelNI+HCb967m14mlv IEU2iW8TDjeXcUe6cJ05GnxzqHM7zM96VS3qXDpIB2iDgC8j3CnYyhH24+Mhv5I8CUCHgX1MKiDY eeQcJew2dK9dzry+bfclO8/hu5SoFMD8JJNbUt8Mu4XGCKBU9ne3BjK2SmjUA/QTw9zxlY3c2sxS FqTUtzcsLmiblPHO3zanjcaIpAp33wrJOQ6ItAIXkOpgTy0dHMsh670YsAlg5aXZbk5cBEuffkXV 1T+60ayns09HkSaxTtWBuR5lGpdmys7BzelN7Uop0zNwUxzAtSBIwMwEzZK1GVTZLhXts/gfJzY2 uu5OKvWMyUi6qqLbldDIEubSY/PRttn9voXZQiWrKv0vEvFax9fRZCyMRMvcg9uoUYDfpnzdca2z SXKB9nnNY85pi97tAIbWPRojEUfTgWP6D96JTAsiSDwMaEIVcTHe9GPTU+9pwXySqe3A6a8Ewoys iun1xbGk7sCL3n/tvjSTjyQAjC/hZe607oUoqKCo42pF2j0tB+32mZpX8f0uxO7oETze8ZiB88bR crEhoLY+5fyoIGByaPSTDtTmJJJneIgXWfg3NORhrGCIyTWMlEp5yx5iJynH1hb182GZW4CS8Bmk f+ADDsebVwLLNQBoknXaHlT+D63tV3RE6+Rsj+B3dI9/PdVKkHq67OZsu5w5LJaqscRgNEeYlt/+ cbCelSpHqsVoQw6/CKZqzEY+tn37fHWVu8r0JFhqnseWDNjhFupXpQrjcNJPDFEGaQb6Vv73x8Pa WwVGeQiNjW0fLIkxEloPrOUABL59tpUw9xfCBa7JkX3AzRsNZxGM54XjkXcGt/nPcGpyLUV7yMs+ R+QxNRsHSpH26ChpnO+0Wy3FS8lTrlrAAFOqtozcebEqJ+tw+JpQiK60FGHNnmlcKthptUfbnLuE gxigsR7YMTwuHsZ0VJAcvfK0jf/lq982NJkd4qFXlOlyM4tdqM8SlxEgNSY/JLHd1SfW/Kjira3S nmjuNOUrZ//oAz5TzWltPs/99Ir6qX73KeYo8wqiaMPfWyH7TGYSgpkQNzNZb1xCNpalvlViZOgf M6NvJKXb/6rLTI3vjv0ZbAbeiBODtOr99F6tiYZWgyIxhy/8VpZ7MZghTXqhwcJKoQirIRsyQtoR u8bQ5vI/iTscUhNoc8a9bOkZ9vSZw1/RLZWTY5Rh7tT5PSO9Us2t9dpDcc9TIsbgO1AIIF7WNaoJ DwZp/KTWNTad5hjYj+rd2aaURMjTVNbgb7s2HVjibL3dQ4Q2f3vXTNPmAGTtWHK6W32h+rhzAxDP 3ZuZ/5LqF/byJspHfshjez4vOGbAWrsH1CpBeagE+Q7j1YUI6Ljvey4pg8JWVQMPRR248r2WPVY/ JPE+M/MxG0DEm4fcRyHRpZhstUh/af3iwedy/JUXjaEk1xs4fchpx5JyvEoUk44LovCKVKVVqyYA vGkLpJ8MoxSBcCcVMNNYcqrJWv+bR2DUTSlh+rtfInK1KVX0iBS25rmMPtatHEMXxHyamjCoU2WW U/UXYknqXsz2w1/BREM5GXENYV7Ub18T09FHYuM0V2MarX/Hqu0VK93gxGWg9ZbhLZYD78dD+uH5 2miRXT8yHXlm/P5FQc4ila97oDhGC8uKZknZTLbHYABpPgARuRR3M+qXJ4Ee9wnAM/ok0X+sx4jI 2s3+qcP7QY2wJQU3dw1Vy4TTcUIiX3y7USa62xbveXwdsRXaDdsXvITG9t9rHbzXctkSQO16OTFF XE11fP25anGtgJ5K5qD4WM/yCDlKqLRwTIb0iSVfZ9RTm5h8N265pvd0UTmJknwPlGvhwlG+aiCr fRSFjO8XsNcACSFz6nD2HEK/gjr1avgcrHOgpQt1d36SLF6nexZsBr/SkQfMIpLgnU4exhCEc9WX fZ0iia4V6RxL7q64UdrUoUXL6NEV63ggRMwHEGdYtuS9VakeMrT0VOGXy+E6DraThSAcR+McVsB+ /mIguZMsXVkRsC0hohZNuR46O8JDSZHuIhRkHVEtpN6ywsPhhyX/0nQgCSywDyt4y84KAIZRqS5p BcKyI/Z0TC+khey+f3IGNpQtTh4O1kHG4qQYuQcEHPneRA7eWfuCDdThnlKFgwzbRB47r/6GF4v1 vK5mFR1I6EXbgmGLkFzSKwMca4dFtSlmyaDNR95sM9KiO4Jevc7TJ0mM4UApf3/E/d2bZFZ8dnOd gFXpoWEuzGW8pmSsDB9Wz2buS1kX3TuByX03ll2dWhFVchrEqgyYDdKbV3Xn `protect end_protected library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top is port ( gtwiz_userclk_tx_reset_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_userclk_tx_active_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_userclk_tx_srcclk_out : out STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_userclk_tx_usrclk_out : out STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_userclk_tx_usrclk2_out : out STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_userclk_tx_active_out : out STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_userclk_rx_reset_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_userclk_rx_active_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_userclk_rx_srcclk_out : out STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_userclk_rx_usrclk_out : out STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_userclk_rx_usrclk2_out : out STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_userclk_rx_active_out : out STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_buffbypass_tx_reset_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_buffbypass_tx_start_user_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_buffbypass_tx_done_out : out STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_buffbypass_tx_error_out : out STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_buffbypass_rx_reset_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_buffbypass_rx_start_user_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_buffbypass_rx_done_out : out STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_buffbypass_rx_error_out : out STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_reset_clk_freerun_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_reset_all_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_reset_tx_pll_and_datapath_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_reset_tx_datapath_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_reset_rx_pll_and_datapath_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_reset_rx_datapath_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_reset_tx_done_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_reset_rx_done_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_reset_qpll0lock_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_reset_qpll1lock_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_reset_rx_cdr_stable_out : out STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_reset_tx_done_out : out STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_reset_rx_done_out : out STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_reset_qpll0reset_out : out STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_reset_qpll1reset_out : out STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_gthe3_cpll_cal_txoutclk_period_in : in STD_LOGIC_VECTOR ( 17 downto 0 ); gtwiz_gthe3_cpll_cal_cnt_tol_in : in STD_LOGIC_VECTOR ( 17 downto 0 ); gtwiz_gthe3_cpll_cal_bufg_ce_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_gthe4_cpll_cal_txoutclk_period_in : in STD_LOGIC_VECTOR ( 17 downto 0 ); gtwiz_gthe4_cpll_cal_cnt_tol_in : in STD_LOGIC_VECTOR ( 17 downto 0 ); gtwiz_gthe4_cpll_cal_bufg_ce_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_gtye4_cpll_cal_txoutclk_period_in : in STD_LOGIC_VECTOR ( 17 downto 0 ); gtwiz_gtye4_cpll_cal_cnt_tol_in : in STD_LOGIC_VECTOR ( 17 downto 0 ); gtwiz_gtye4_cpll_cal_bufg_ce_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_userdata_tx_in : in STD_LOGIC_VECTOR ( 15 downto 0 ); gtwiz_userdata_rx_out : out STD_LOGIC_VECTOR ( 15 downto 0 ); bgbypassb_in : in STD_LOGIC_VECTOR ( 0 to 0 ); bgmonitorenb_in : in STD_LOGIC_VECTOR ( 0 to 0 ); bgpdb_in : in STD_LOGIC_VECTOR ( 0 to 0 ); bgrcalovrd_in : in STD_LOGIC_VECTOR ( 4 downto 0 ); bgrcalovrdenb_in : in STD_LOGIC_VECTOR ( 0 to 0 ); drpaddr_common_in : in STD_LOGIC_VECTOR ( 8 downto 0 ); drpclk_common_in : in STD_LOGIC_VECTOR ( 0 to 0 ); drpdi_common_in : in STD_LOGIC_VECTOR ( 15 downto 0 ); drpen_common_in : in STD_LOGIC_VECTOR ( 0 to 0 ); drpwe_common_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtgrefclk0_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtgrefclk1_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtnorthrefclk00_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtnorthrefclk01_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtnorthrefclk10_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtnorthrefclk11_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtrefclk00_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtrefclk01_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtrefclk10_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtrefclk11_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtsouthrefclk00_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtsouthrefclk01_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtsouthrefclk10_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtsouthrefclk11_in : in STD_LOGIC_VECTOR ( 0 to 0 ); pcierateqpll0_in : in STD_LOGIC_VECTOR ( 0 to 0 ); pcierateqpll1_in : in STD_LOGIC_VECTOR ( 0 to 0 ); pmarsvd0_in : in STD_LOGIC_VECTOR ( 7 downto 0 ); pmarsvd1_in : in STD_LOGIC_VECTOR ( 7 downto 0 ); qpll0clkrsvd0_in : in STD_LOGIC_VECTOR ( 0 to 0 ); qpll0clkrsvd1_in : in STD_LOGIC_VECTOR ( 0 to 0 ); qpll0fbdiv_in : in STD_LOGIC_VECTOR ( 0 to 0 ); qpll0lockdetclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); qpll0locken_in : in STD_LOGIC_VECTOR ( 0 to 0 ); qpll0pd_in : in STD_LOGIC_VECTOR ( 0 to 0 ); qpll0refclksel_in : in STD_LOGIC_VECTOR ( 2 downto 0 ); qpll0reset_in : in STD_LOGIC_VECTOR ( 0 to 0 ); qpll1clkrsvd0_in : in STD_LOGIC_VECTOR ( 0 to 0 ); qpll1clkrsvd1_in : in STD_LOGIC_VECTOR ( 0 to 0 ); qpll1fbdiv_in : in STD_LOGIC_VECTOR ( 0 to 0 ); qpll1lockdetclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); qpll1locken_in : in STD_LOGIC_VECTOR ( 0 to 0 ); qpll1pd_in : in STD_LOGIC_VECTOR ( 0 to 0 ); qpll1refclksel_in : in STD_LOGIC_VECTOR ( 2 downto 0 ); qpll1reset_in : in STD_LOGIC_VECTOR ( 0 to 0 ); qpllrsvd1_in : in STD_LOGIC_VECTOR ( 7 downto 0 ); qpllrsvd2_in : in STD_LOGIC_VECTOR ( 4 downto 0 ); qpllrsvd3_in : in STD_LOGIC_VECTOR ( 4 downto 0 ); qpllrsvd4_in : in STD_LOGIC_VECTOR ( 7 downto 0 ); rcalenb_in : in STD_LOGIC_VECTOR ( 0 to 0 ); sdm0data_in : in STD_LOGIC_VECTOR ( 0 to 0 ); sdm0reset_in : in STD_LOGIC_VECTOR ( 0 to 0 ); sdm0toggle_in : in STD_LOGIC_VECTOR ( 0 to 0 ); sdm0width_in : in STD_LOGIC_VECTOR ( 0 to 0 ); sdm1data_in : in STD_LOGIC_VECTOR ( 0 to 0 ); sdm1reset_in : in STD_LOGIC_VECTOR ( 0 to 0 ); sdm1toggle_in : in STD_LOGIC_VECTOR ( 0 to 0 ); sdm1width_in : in STD_LOGIC_VECTOR ( 0 to 0 ); tcongpi_in : in STD_LOGIC_VECTOR ( 0 to 0 ); tconpowerup_in : in STD_LOGIC_VECTOR ( 0 to 0 ); tconreset_in : in STD_LOGIC_VECTOR ( 0 to 0 ); tconrsvdin1_in : in STD_LOGIC_VECTOR ( 0 to 0 ); ubcfgstreamen_in : in STD_LOGIC_VECTOR ( 0 to 0 ); ubdo_in : in STD_LOGIC_VECTOR ( 0 to 0 ); ubdrdy_in : in STD_LOGIC_VECTOR ( 0 to 0 ); ubenable_in : in STD_LOGIC_VECTOR ( 0 to 0 ); ubgpi_in : in STD_LOGIC_VECTOR ( 0 to 0 ); ubintr_in : in STD_LOGIC_VECTOR ( 0 to 0 ); ubiolmbrst_in : in STD_LOGIC_VECTOR ( 0 to 0 ); ubmbrst_in : in STD_LOGIC_VECTOR ( 0 to 0 ); ubmdmcapture_in : in STD_LOGIC_VECTOR ( 0 to 0 ); ubmdmdbgrst_in : in STD_LOGIC_VECTOR ( 0 to 0 ); ubmdmdbgupdate_in : in STD_LOGIC_VECTOR ( 0 to 0 ); ubmdmregen_in : in STD_LOGIC_VECTOR ( 0 to 0 ); ubmdmshift_in : in STD_LOGIC_VECTOR ( 0 to 0 ); ubmdmsysrst_in : in STD_LOGIC_VECTOR ( 0 to 0 ); ubmdmtck_in : in STD_LOGIC_VECTOR ( 0 to 0 ); ubmdmtdi_in : in STD_LOGIC_VECTOR ( 0 to 0 ); drpdo_common_out : out STD_LOGIC_VECTOR ( 15 downto 0 ); drprdy_common_out : out STD_LOGIC_VECTOR ( 0 to 0 ); pmarsvdout0_out : out STD_LOGIC_VECTOR ( 7 downto 0 ); pmarsvdout1_out : out STD_LOGIC_VECTOR ( 7 downto 0 ); qpll0fbclklost_out : out STD_LOGIC_VECTOR ( 0 to 0 ); qpll0lock_out : out STD_LOGIC_VECTOR ( 0 to 0 ); qpll0outclk_out : out STD_LOGIC_VECTOR ( 0 to 0 ); qpll0outrefclk_out : out STD_LOGIC_VECTOR ( 0 to 0 ); qpll0refclklost_out : out STD_LOGIC_VECTOR ( 0 to 0 ); qpll1fbclklost_out : out STD_LOGIC_VECTOR ( 0 to 0 ); qpll1lock_out : out STD_LOGIC_VECTOR ( 0 to 0 ); qpll1outclk_out : out STD_LOGIC_VECTOR ( 0 to 0 ); qpll1outrefclk_out : out STD_LOGIC_VECTOR ( 0 to 0 ); qpll1refclklost_out : out STD_LOGIC_VECTOR ( 0 to 0 ); qplldmonitor0_out : out STD_LOGIC_VECTOR ( 7 downto 0 ); qplldmonitor1_out : out STD_LOGIC_VECTOR ( 7 downto 0 ); refclkoutmonitor0_out : out STD_LOGIC_VECTOR ( 0 to 0 ); refclkoutmonitor1_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxrecclk0_sel_out : out STD_LOGIC_VECTOR ( 1 downto 0 ); rxrecclk1_sel_out : out STD_LOGIC_VECTOR ( 1 downto 0 ); rxrecclk0sel_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxrecclk1sel_out : out STD_LOGIC_VECTOR ( 0 to 0 ); sdm0finalout_out : out STD_LOGIC_VECTOR ( 0 to 0 ); sdm0testdata_out : out STD_LOGIC_VECTOR ( 0 to 0 ); sdm1finalout_out : out STD_LOGIC_VECTOR ( 0 to 0 ); sdm1testdata_out : out STD_LOGIC_VECTOR ( 0 to 0 ); tcongpo_out : out STD_LOGIC_VECTOR ( 0 to 0 ); tconrsvdout0_out : out STD_LOGIC_VECTOR ( 0 to 0 ); ubdaddr_out : out STD_LOGIC_VECTOR ( 0 to 0 ); ubden_out : out STD_LOGIC_VECTOR ( 0 to 0 ); ubdi_out : out STD_LOGIC_VECTOR ( 0 to 0 ); ubdwe_out : out STD_LOGIC_VECTOR ( 0 to 0 ); ubmdmtdo_out : out STD_LOGIC_VECTOR ( 0 to 0 ); ubrsvdout_out : out STD_LOGIC_VECTOR ( 0 to 0 ); ubtxuart_out : out STD_LOGIC_VECTOR ( 0 to 0 ); cdrstepdir_in : in STD_LOGIC_VECTOR ( 0 to 0 ); cdrstepsq_in : in STD_LOGIC_VECTOR ( 0 to 0 ); cdrstepsx_in : in STD_LOGIC_VECTOR ( 0 to 0 ); cfgreset_in : in STD_LOGIC_VECTOR ( 0 to 0 ); clkrsvd0_in : in STD_LOGIC_VECTOR ( 0 to 0 ); clkrsvd1_in : in STD_LOGIC_VECTOR ( 0 to 0 ); cpllfreqlock_in : in STD_LOGIC_VECTOR ( 0 to 0 ); cplllockdetclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); cplllocken_in : in STD_LOGIC_VECTOR ( 0 to 0 ); cpllpd_in : in STD_LOGIC_VECTOR ( 0 to 0 ); cpllrefclksel_in : in STD_LOGIC_VECTOR ( 2 downto 0 ); cpllreset_in : in STD_LOGIC_VECTOR ( 0 to 0 ); dmonfiforeset_in : in STD_LOGIC_VECTOR ( 0 to 0 ); dmonitorclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); drpaddr_in : in STD_LOGIC_VECTOR ( 8 downto 0 ); drpclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); drpdi_in : in STD_LOGIC_VECTOR ( 15 downto 0 ); drpen_in : in STD_LOGIC_VECTOR ( 0 to 0 ); drprst_in : in STD_LOGIC_VECTOR ( 0 to 0 ); drpwe_in : in STD_LOGIC_VECTOR ( 0 to 0 ); elpcaldvorwren_in : in STD_LOGIC_VECTOR ( 0 to 0 ); elpcalpaorwren_in : in STD_LOGIC_VECTOR ( 0 to 0 ); evoddphicaldone_in : in STD_LOGIC_VECTOR ( 0 to 0 ); evoddphicalstart_in : in STD_LOGIC_VECTOR ( 0 to 0 ); evoddphidrden_in : in STD_LOGIC_VECTOR ( 0 to 0 ); evoddphidwren_in : in STD_LOGIC_VECTOR ( 0 to 0 ); evoddphixrden_in : in STD_LOGIC_VECTOR ( 0 to 0 ); evoddphixwren_in : in STD_LOGIC_VECTOR ( 0 to 0 ); eyescanmode_in : in STD_LOGIC_VECTOR ( 0 to 0 ); eyescanreset_in : in STD_LOGIC_VECTOR ( 0 to 0 ); eyescantrigger_in : in STD_LOGIC_VECTOR ( 0 to 0 ); freqos_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtgrefclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gthrxn_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gthrxp_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtnorthrefclk0_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtnorthrefclk1_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtrefclk0_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtrefclk1_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtresetsel_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtrsvd_in : in STD_LOGIC_VECTOR ( 15 downto 0 ); gtrxreset_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtrxresetsel_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtsouthrefclk0_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtsouthrefclk1_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gttxreset_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gttxresetsel_in : in STD_LOGIC_VECTOR ( 0 to 0 ); incpctrl_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtyrxn_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtyrxp_in : in STD_LOGIC_VECTOR ( 0 to 0 ); loopback_in : in STD_LOGIC_VECTOR ( 2 downto 0 ); looprsvd_in : in STD_LOGIC_VECTOR ( 0 to 0 ); lpbkrxtxseren_in : in STD_LOGIC_VECTOR ( 0 to 0 ); lpbktxrxseren_in : in STD_LOGIC_VECTOR ( 0 to 0 ); pcieeqrxeqadaptdone_in : in STD_LOGIC_VECTOR ( 0 to 0 ); pcierstidle_in : in STD_LOGIC_VECTOR ( 0 to 0 ); pciersttxsyncstart_in : in STD_LOGIC_VECTOR ( 0 to 0 ); pcieuserratedone_in : in STD_LOGIC_VECTOR ( 0 to 0 ); pcsrsvdin_in : in STD_LOGIC_VECTOR ( 15 downto 0 ); pcsrsvdin2_in : in STD_LOGIC_VECTOR ( 4 downto 0 ); pmarsvdin_in : in STD_LOGIC_VECTOR ( 4 downto 0 ); qpll0clk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); qpll0freqlock_in : in STD_LOGIC_VECTOR ( 0 to 0 ); qpll0refclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); qpll1clk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); qpll1freqlock_in : in STD_LOGIC_VECTOR ( 0 to 0 ); qpll1refclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); resetovrd_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rstclkentx_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rx8b10ben_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxafecfoken_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxbufreset_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxcdrfreqreset_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxcdrhold_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxcdrovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxcdrreset_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxcdrresetrsv_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxchbonden_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxchbondi_in : in STD_LOGIC_VECTOR ( 4 downto 0 ); rxchbondlevel_in : in STD_LOGIC_VECTOR ( 2 downto 0 ); rxchbondmaster_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxchbondslave_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxckcalreset_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxckcalstart_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxcommadeten_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfeagcctrl_in : in STD_LOGIC_VECTOR ( 1 downto 0 ); rxdccforcestart_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfeagchold_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfeagcovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfecfokfcnum_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfecfokfen_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfecfokfpulse_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfecfokhold_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfecfokovren_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfekhhold_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfekhovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfelfhold_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfelfovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfelpmreset_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfetap10hold_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfetap10ovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfetap11hold_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfetap11ovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfetap12hold_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfetap12ovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfetap13hold_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfetap13ovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfetap14hold_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfetap14ovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfetap15hold_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfetap15ovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfetap2hold_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfetap2ovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfetap3hold_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfetap3ovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfetap4hold_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfetap4ovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfetap5hold_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfetap5ovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfetap6hold_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfetap6ovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfetap7hold_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfetap7ovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfetap8hold_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfetap8ovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfetap9hold_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfetap9ovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfeuthold_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfeutovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfevphold_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfevpovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfevsen_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfexyden_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdlybypass_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdlyen_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdlyovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdlysreset_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxelecidlemode_in : in STD_LOGIC_VECTOR ( 1 downto 0 ); rxeqtraining_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxgearboxslip_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxlatclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxlpmen_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxlpmgchold_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxlpmgcovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxlpmhfhold_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxlpmhfovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxlpmlfhold_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxlpmlfklovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxlpmoshold_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxlpmosovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxmcommaalignen_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxmonitorsel_in : in STD_LOGIC_VECTOR ( 1 downto 0 ); rxoobreset_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxoscalreset_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxoshold_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxosintcfg_in : in STD_LOGIC_VECTOR ( 3 downto 0 ); rxosinten_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxosinthold_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxosintovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxosintstrobe_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxosinttestovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxosovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxoutclksel_in : in STD_LOGIC_VECTOR ( 2 downto 0 ); rxpcommaalignen_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxpcsreset_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxpd_in : in STD_LOGIC_VECTOR ( 1 downto 0 ); rxphalign_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxphalignen_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxphdlypd_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxphdlyreset_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxphovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxpllclksel_in : in STD_LOGIC_VECTOR ( 1 downto 0 ); rxpmareset_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxpolarity_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxprbscntreset_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxprbssel_in : in STD_LOGIC_VECTOR ( 3 downto 0 ); rxprogdivreset_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxqpien_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxrate_in : in STD_LOGIC_VECTOR ( 2 downto 0 ); rxratemode_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxslide_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxslipoutclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxslippma_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxsyncallin_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxsyncin_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxsyncmode_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxsysclksel_in : in STD_LOGIC_VECTOR ( 1 downto 0 ); rxtermination_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxuserrdy_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxusrclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxusrclk2_in : in STD_LOGIC_VECTOR ( 0 to 0 ); sigvalidclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); tstin_in : in STD_LOGIC_VECTOR ( 19 downto 0 ); tx8b10bbypass_in : in STD_LOGIC_VECTOR ( 7 downto 0 ); tx8b10ben_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txbufdiffctrl_in : in STD_LOGIC_VECTOR ( 2 downto 0 ); txcominit_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txcomsas_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txcomwake_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txctrl0_in : in STD_LOGIC_VECTOR ( 15 downto 0 ); txctrl1_in : in STD_LOGIC_VECTOR ( 15 downto 0 ); txctrl2_in : in STD_LOGIC_VECTOR ( 7 downto 0 ); txdata_in : in STD_LOGIC_VECTOR ( 127 downto 0 ); txdataextendrsvd_in : in STD_LOGIC_VECTOR ( 7 downto 0 ); txdccforcestart_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txdccreset_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txdeemph_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txdetectrx_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txdiffctrl_in : in STD_LOGIC_VECTOR ( 3 downto 0 ); txdiffpd_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txdlybypass_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txdlyen_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txdlyhold_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txdlyovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txdlysreset_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txdlyupdown_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txelecidle_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txelforcestart_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txheader_in : in STD_LOGIC_VECTOR ( 5 downto 0 ); txinhibit_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txlatclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txlfpstreset_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txlfpsu2lpexit_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txlfpsu3wake_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txmaincursor_in : in STD_LOGIC_VECTOR ( 6 downto 0 ); txmargin_in : in STD_LOGIC_VECTOR ( 2 downto 0 ); txmuxdcdexhold_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txmuxdcdorwren_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txoneszeros_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txoutclksel_in : in STD_LOGIC_VECTOR ( 2 downto 0 ); txpcsreset_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txpd_in : in STD_LOGIC_VECTOR ( 1 downto 0 ); txpdelecidlemode_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txphalign_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txphalignen_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txphdlypd_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txphdlyreset_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txphdlytstclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txphinit_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txphovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txpippmen_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txpippmovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txpippmpd_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txpippmsel_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txpippmstepsize_in : in STD_LOGIC_VECTOR ( 4 downto 0 ); txpisopd_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txpllclksel_in : in STD_LOGIC_VECTOR ( 1 downto 0 ); txpmareset_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txpolarity_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txpostcursor_in : in STD_LOGIC_VECTOR ( 4 downto 0 ); txpostcursorinv_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txprbsforceerr_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txprbssel_in : in STD_LOGIC_VECTOR ( 3 downto 0 ); txprecursor_in : in STD_LOGIC_VECTOR ( 4 downto 0 ); txprecursorinv_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txprogdivreset_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txqpibiasen_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txqpistrongpdown_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txqpiweakpup_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txrate_in : in STD_LOGIC_VECTOR ( 2 downto 0 ); txratemode_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txsequence_in : in STD_LOGIC_VECTOR ( 6 downto 0 ); txswing_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txsyncallin_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txsyncin_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txsyncmode_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txsysclksel_in : in STD_LOGIC_VECTOR ( 1 downto 0 ); txuserrdy_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txusrclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txusrclk2_in : in STD_LOGIC_VECTOR ( 0 to 0 ); bufgtce_out : out STD_LOGIC_VECTOR ( 2 downto 0 ); bufgtcemask_out : out STD_LOGIC_VECTOR ( 2 downto 0 ); bufgtdiv_out : out STD_LOGIC_VECTOR ( 8 downto 0 ); bufgtreset_out : out STD_LOGIC_VECTOR ( 2 downto 0 ); bufgtrstmask_out : out STD_LOGIC_VECTOR ( 2 downto 0 ); cpllfbclklost_out : out STD_LOGIC_VECTOR ( 0 to 0 ); cplllock_out : out STD_LOGIC_VECTOR ( 0 to 0 ); cpllrefclklost_out : out STD_LOGIC_VECTOR ( 0 to 0 ); dmonitorout_out : out STD_LOGIC_VECTOR ( 16 downto 0 ); dmonitoroutclk_out : out STD_LOGIC_VECTOR ( 0 to 0 ); drpdo_out : out STD_LOGIC_VECTOR ( 15 downto 0 ); drprdy_out : out STD_LOGIC_VECTOR ( 0 to 0 ); eyescandataerror_out : out STD_LOGIC_VECTOR ( 0 to 0 ); gthtxn_out : out STD_LOGIC_VECTOR ( 0 to 0 ); gthtxp_out : out STD_LOGIC_VECTOR ( 0 to 0 ); gtpowergood_out : out STD_LOGIC_VECTOR ( 0 to 0 ); gtrefclkmonitor_out : out STD_LOGIC_VECTOR ( 0 to 0 ); gtytxn_out : out STD_LOGIC_VECTOR ( 0 to 0 ); gtytxp_out : out STD_LOGIC_VECTOR ( 0 to 0 ); pcierategen3_out : out STD_LOGIC_VECTOR ( 0 to 0 ); pcierateidle_out : out STD_LOGIC_VECTOR ( 0 to 0 ); pcierateqpllpd_out : out STD_LOGIC_VECTOR ( 1 downto 0 ); pcierateqpllreset_out : out STD_LOGIC_VECTOR ( 1 downto 0 ); pciesynctxsyncdone_out : out STD_LOGIC_VECTOR ( 0 to 0 ); pcieusergen3rdy_out : out STD_LOGIC_VECTOR ( 0 to 0 ); pcieuserphystatusrst_out : out STD_LOGIC_VECTOR ( 0 to 0 ); pcieuserratestart_out : out STD_LOGIC_VECTOR ( 0 to 0 ); pcsrsvdout_out : out STD_LOGIC_VECTOR ( 11 downto 0 ); phystatus_out : out STD_LOGIC_VECTOR ( 0 to 0 ); pinrsrvdas_out : out STD_LOGIC_VECTOR ( 7 downto 0 ); powerpresent_out : out STD_LOGIC_VECTOR ( 0 to 0 ); resetexception_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxbufstatus_out : out STD_LOGIC_VECTOR ( 2 downto 0 ); rxbyteisaligned_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxbyterealign_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxcdrlock_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxcdrphdone_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxchanbondseq_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxchanisaligned_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxchanrealign_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxchbondo_out : out STD_LOGIC_VECTOR ( 4 downto 0 ); rxckcaldone_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxclkcorcnt_out : out STD_LOGIC_VECTOR ( 1 downto 0 ); rxcominitdet_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxcommadet_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxcomsasdet_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxcomwakedet_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxctrl0_out : out STD_LOGIC_VECTOR ( 15 downto 0 ); rxctrl1_out : out STD_LOGIC_VECTOR ( 15 downto 0 ); rxctrl2_out : out STD_LOGIC_VECTOR ( 7 downto 0 ); rxctrl3_out : out STD_LOGIC_VECTOR ( 7 downto 0 ); rxdata_out : out STD_LOGIC_VECTOR ( 127 downto 0 ); rxdataextendrsvd_out : out STD_LOGIC_VECTOR ( 7 downto 0 ); rxdatavalid_out : out STD_LOGIC_VECTOR ( 1 downto 0 ); rxdlysresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxelecidle_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxheader_out : out STD_LOGIC_VECTOR ( 5 downto 0 ); rxheadervalid_out : out STD_LOGIC_VECTOR ( 1 downto 0 ); rxlfpstresetdet_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxlfpsu2lpexitdet_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxlfpsu3wakedet_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxmonitorout_out : out STD_LOGIC_VECTOR ( 6 downto 0 ); rxosintdone_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxosintstarted_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxosintstrobedone_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxosintstrobestarted_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxoutclk_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxoutclkfabric_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxoutclkpcs_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxphaligndone_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxphalignerr_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxpmaresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxprbserr_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxprbslocked_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxprgdivresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxqpisenn_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxqpisenp_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxratedone_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxrecclkout_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxsliderdy_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxslipdone_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxslipoutclkrdy_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxslippmardy_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxstartofseq_out : out STD_LOGIC_VECTOR ( 1 downto 0 ); rxstatus_out : out STD_LOGIC_VECTOR ( 2 downto 0 ); rxsyncdone_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxsyncout_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxvalid_out : out STD_LOGIC_VECTOR ( 0 to 0 ); txbufstatus_out : out STD_LOGIC_VECTOR ( 1 downto 0 ); txcomfinish_out : out STD_LOGIC_VECTOR ( 0 to 0 ); txdccdone_out : out STD_LOGIC_VECTOR ( 0 to 0 ); txdlysresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 ); txoutclk_out : out STD_LOGIC_VECTOR ( 0 to 0 ); txoutclkfabric_out : out STD_LOGIC_VECTOR ( 0 to 0 ); txoutclkpcs_out : out STD_LOGIC_VECTOR ( 0 to 0 ); txphaligndone_out : out STD_LOGIC_VECTOR ( 0 to 0 ); txphinitdone_out : out STD_LOGIC_VECTOR ( 0 to 0 ); txpmaresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 ); txprgdivresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 ); txqpisenn_out : out STD_LOGIC_VECTOR ( 0 to 0 ); txqpisenp_out : out STD_LOGIC_VECTOR ( 0 to 0 ); txratedone_out : out STD_LOGIC_VECTOR ( 0 to 0 ); txresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 ); txsyncdone_out : out STD_LOGIC_VECTOR ( 0 to 0 ); txsyncout_out : out STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute C_CHANNEL_ENABLE : string; attribute C_CHANNEL_ENABLE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is "192'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; attribute C_COMMON_SCALING_FACTOR : integer; attribute C_COMMON_SCALING_FACTOR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 1; attribute C_CPLL_VCO_FREQUENCY : string; attribute C_CPLL_VCO_FREQUENCY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is "2500.000000"; attribute C_ENABLE_COMMON_USRCLK : integer; attribute C_ENABLE_COMMON_USRCLK of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 0; attribute C_FORCE_COMMONS : integer; attribute C_FORCE_COMMONS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 0; attribute C_FREERUN_FREQUENCY : string; attribute C_FREERUN_FREQUENCY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is "50.000000"; attribute C_GT_REV : integer; attribute C_GT_REV of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 17; attribute C_GT_TYPE : integer; attribute C_GT_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 0; attribute C_INCLUDE_CPLL_CAL : integer; attribute C_INCLUDE_CPLL_CAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 2; attribute C_LOCATE_COMMON : integer; attribute C_LOCATE_COMMON of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 0; attribute C_LOCATE_IN_SYSTEM_IBERT_CORE : integer; attribute C_LOCATE_IN_SYSTEM_IBERT_CORE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 2; attribute C_LOCATE_RESET_CONTROLLER : integer; attribute C_LOCATE_RESET_CONTROLLER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 0; attribute C_LOCATE_RX_BUFFER_BYPASS_CONTROLLER : integer; attribute C_LOCATE_RX_BUFFER_BYPASS_CONTROLLER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 0; attribute C_LOCATE_RX_USER_CLOCKING : integer; attribute C_LOCATE_RX_USER_CLOCKING of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 1; attribute C_LOCATE_TX_BUFFER_BYPASS_CONTROLLER : integer; attribute C_LOCATE_TX_BUFFER_BYPASS_CONTROLLER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 0; attribute C_LOCATE_TX_USER_CLOCKING : integer; attribute C_LOCATE_TX_USER_CLOCKING of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 1; attribute C_LOCATE_USER_DATA_WIDTH_SIZING : integer; attribute C_LOCATE_USER_DATA_WIDTH_SIZING of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 0; attribute C_PCIE_CORECLK_FREQ : integer; attribute C_PCIE_CORECLK_FREQ of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 250; attribute C_PCIE_ENABLE : integer; attribute C_PCIE_ENABLE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 0; attribute C_RESET_CONTROLLER_INSTANCE_CTRL : integer; attribute C_RESET_CONTROLLER_INSTANCE_CTRL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 0; attribute C_RESET_SEQUENCE_INTERVAL : integer; attribute C_RESET_SEQUENCE_INTERVAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 0; attribute C_RX_BUFFBYPASS_MODE : integer; attribute C_RX_BUFFBYPASS_MODE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 0; attribute C_RX_BUFFER_BYPASS_INSTANCE_CTRL : integer; attribute C_RX_BUFFER_BYPASS_INSTANCE_CTRL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 0; attribute C_RX_BUFFER_MODE : integer; attribute C_RX_BUFFER_MODE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 1; attribute C_RX_CB_DISP : string; attribute C_RX_CB_DISP of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is "8'b00000000"; attribute C_RX_CB_K : string; attribute C_RX_CB_K of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is "8'b00000000"; attribute C_RX_CB_LEN_SEQ : integer; attribute C_RX_CB_LEN_SEQ of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 1; attribute C_RX_CB_MAX_LEVEL : integer; attribute C_RX_CB_MAX_LEVEL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 1; attribute C_RX_CB_NUM_SEQ : integer; attribute C_RX_CB_NUM_SEQ of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 0; attribute C_RX_CB_VAL : string; attribute C_RX_CB_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is "80'b00000000000000000000000000000000000000000000000000000000000000000000000000000000"; attribute C_RX_CC_DISP : string; attribute C_RX_CC_DISP of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is "8'b00000000"; attribute C_RX_CC_ENABLE : integer; attribute C_RX_CC_ENABLE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 1; attribute C_RX_CC_K : string; attribute C_RX_CC_K of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is "8'b00010001"; attribute C_RX_CC_LEN_SEQ : integer; attribute C_RX_CC_LEN_SEQ of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 2; attribute C_RX_CC_NUM_SEQ : integer; attribute C_RX_CC_NUM_SEQ of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 2; attribute C_RX_CC_PERIODICITY : integer; attribute C_RX_CC_PERIODICITY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 5000; attribute C_RX_CC_VAL : string; attribute C_RX_CC_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is "80'b00000000000000000000001011010100101111000000000000000000000000010100000010111100"; attribute C_RX_COMMA_M_ENABLE : integer; attribute C_RX_COMMA_M_ENABLE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 1; attribute C_RX_COMMA_M_VAL : string; attribute C_RX_COMMA_M_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is "10'b1010000011"; attribute C_RX_COMMA_P_ENABLE : integer; attribute C_RX_COMMA_P_ENABLE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 1; attribute C_RX_COMMA_P_VAL : string; attribute C_RX_COMMA_P_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is "10'b0101111100"; attribute C_RX_DATA_DECODING : integer; attribute C_RX_DATA_DECODING of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 1; attribute C_RX_ENABLE : integer; attribute C_RX_ENABLE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 1; attribute C_RX_INT_DATA_WIDTH : integer; attribute C_RX_INT_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 20; attribute C_RX_LINE_RATE : string; attribute C_RX_LINE_RATE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is "1.250000"; attribute C_RX_MASTER_CHANNEL_IDX : integer; attribute C_RX_MASTER_CHANNEL_IDX of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 96; attribute C_RX_OUTCLK_BUFG_GT_DIV : integer; attribute C_RX_OUTCLK_BUFG_GT_DIV of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 1; attribute C_RX_OUTCLK_FREQUENCY : string; attribute C_RX_OUTCLK_FREQUENCY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is "62.500000"; attribute C_RX_OUTCLK_SOURCE : integer; attribute C_RX_OUTCLK_SOURCE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 1; attribute C_RX_PLL_TYPE : integer; attribute C_RX_PLL_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 2; attribute C_RX_RECCLK_OUTPUT : string; attribute C_RX_RECCLK_OUTPUT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is "192'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; attribute C_RX_REFCLK_FREQUENCY : string; attribute C_RX_REFCLK_FREQUENCY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is "125.000000"; attribute C_RX_SLIDE_MODE : integer; attribute C_RX_SLIDE_MODE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 0; attribute C_RX_USER_CLOCKING_CONTENTS : integer; attribute C_RX_USER_CLOCKING_CONTENTS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 0; attribute C_RX_USER_CLOCKING_INSTANCE_CTRL : integer; attribute C_RX_USER_CLOCKING_INSTANCE_CTRL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 0; attribute C_RX_USER_CLOCKING_RATIO_FSRC_FUSRCLK : integer; attribute C_RX_USER_CLOCKING_RATIO_FSRC_FUSRCLK of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 1; attribute C_RX_USER_CLOCKING_RATIO_FUSRCLK_FUSRCLK2 : integer; attribute C_RX_USER_CLOCKING_RATIO_FUSRCLK_FUSRCLK2 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 1; attribute C_RX_USER_CLOCKING_SOURCE : integer; attribute C_RX_USER_CLOCKING_SOURCE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 0; attribute C_RX_USER_DATA_WIDTH : integer; attribute C_RX_USER_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 16; attribute C_RX_USRCLK2_FREQUENCY : string; attribute C_RX_USRCLK2_FREQUENCY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is "62.500000"; attribute C_RX_USRCLK_FREQUENCY : string; attribute C_RX_USRCLK_FREQUENCY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is "62.500000"; attribute C_SECONDARY_QPLL_ENABLE : integer; attribute C_SECONDARY_QPLL_ENABLE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 0; attribute C_SECONDARY_QPLL_REFCLK_FREQUENCY : string; attribute C_SECONDARY_QPLL_REFCLK_FREQUENCY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is "257.812500"; attribute C_SIM_CPLL_CAL_BYPASS : integer; attribute C_SIM_CPLL_CAL_BYPASS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 1; attribute C_TOTAL_NUM_CHANNELS : integer; attribute C_TOTAL_NUM_CHANNELS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 1; attribute C_TOTAL_NUM_COMMONS : integer; attribute C_TOTAL_NUM_COMMONS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 0; attribute C_TOTAL_NUM_COMMONS_EXAMPLE : integer; attribute C_TOTAL_NUM_COMMONS_EXAMPLE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 0; attribute C_TXPROGDIV_FREQ_ENABLE : integer; attribute C_TXPROGDIV_FREQ_ENABLE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 1; attribute C_TXPROGDIV_FREQ_SOURCE : integer; attribute C_TXPROGDIV_FREQ_SOURCE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 2; attribute C_TXPROGDIV_FREQ_VAL : string; attribute C_TXPROGDIV_FREQ_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is "125.000000"; attribute C_TX_BUFFBYPASS_MODE : integer; attribute C_TX_BUFFBYPASS_MODE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 0; attribute C_TX_BUFFER_BYPASS_INSTANCE_CTRL : integer; attribute C_TX_BUFFER_BYPASS_INSTANCE_CTRL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 0; attribute C_TX_BUFFER_MODE : integer; attribute C_TX_BUFFER_MODE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 1; attribute C_TX_DATA_ENCODING : integer; attribute C_TX_DATA_ENCODING of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 1; attribute C_TX_ENABLE : integer; attribute C_TX_ENABLE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 1; attribute C_TX_INT_DATA_WIDTH : integer; attribute C_TX_INT_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 20; attribute C_TX_LINE_RATE : string; attribute C_TX_LINE_RATE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is "1.250000"; attribute C_TX_MASTER_CHANNEL_IDX : integer; attribute C_TX_MASTER_CHANNEL_IDX of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 96; attribute C_TX_OUTCLK_BUFG_GT_DIV : integer; attribute C_TX_OUTCLK_BUFG_GT_DIV of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 2; attribute C_TX_OUTCLK_FREQUENCY : string; attribute C_TX_OUTCLK_FREQUENCY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is "62.500000"; attribute C_TX_OUTCLK_SOURCE : integer; attribute C_TX_OUTCLK_SOURCE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 4; attribute C_TX_PLL_TYPE : integer; attribute C_TX_PLL_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 2; attribute C_TX_REFCLK_FREQUENCY : string; attribute C_TX_REFCLK_FREQUENCY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is "125.000000"; attribute C_TX_USER_CLOCKING_CONTENTS : integer; attribute C_TX_USER_CLOCKING_CONTENTS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 0; attribute C_TX_USER_CLOCKING_INSTANCE_CTRL : integer; attribute C_TX_USER_CLOCKING_INSTANCE_CTRL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 0; attribute C_TX_USER_CLOCKING_RATIO_FSRC_FUSRCLK : integer; attribute C_TX_USER_CLOCKING_RATIO_FSRC_FUSRCLK of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 1; attribute C_TX_USER_CLOCKING_RATIO_FUSRCLK_FUSRCLK2 : integer; attribute C_TX_USER_CLOCKING_RATIO_FUSRCLK_FUSRCLK2 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 1; attribute C_TX_USER_CLOCKING_SOURCE : integer; attribute C_TX_USER_CLOCKING_SOURCE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 0; attribute C_TX_USER_DATA_WIDTH : integer; attribute C_TX_USER_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 16; attribute C_TX_USRCLK2_FREQUENCY : string; attribute C_TX_USRCLK2_FREQUENCY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is "62.500000"; attribute C_TX_USRCLK_FREQUENCY : string; attribute C_TX_USRCLK_FREQUENCY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is "62.500000"; attribute C_USER_GTPOWERGOOD_DELAY_EN : integer; attribute C_USER_GTPOWERGOOD_DELAY_EN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top : entity is 0; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top is signal \\ : STD_LOGIC; signal \^rxbufstatus_out\ : STD_LOGIC_VECTOR ( 2 to 2 ); signal \^rxctrl0_out\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^rxctrl1_out\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^rxctrl2_out\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^rxctrl3_out\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^txbufstatus_out\ : STD_LOGIC_VECTOR ( 1 to 1 ); begin bufgtce_out(2) <= \\; bufgtce_out(1) <= \\; bufgtce_out(0) <= \\; bufgtcemask_out(2) <= \\; bufgtcemask_out(1) <= \\; bufgtcemask_out(0) <= \\; bufgtdiv_out(8) <= \\; bufgtdiv_out(7) <= \\; bufgtdiv_out(6) <= \\; bufgtdiv_out(5) <= \\; bufgtdiv_out(4) <= \\; bufgtdiv_out(3) <= \\; bufgtdiv_out(2) <= \\; bufgtdiv_out(1) <= \\; bufgtdiv_out(0) <= \\; bufgtreset_out(2) <= \\; bufgtreset_out(1) <= \\; bufgtreset_out(0) <= \\; bufgtrstmask_out(2) <= \\; bufgtrstmask_out(1) <= \\; bufgtrstmask_out(0) <= \\; cpllfbclklost_out(0) <= \\; cpllrefclklost_out(0) <= \\; dmonitorout_out(16) <= \\; dmonitorout_out(15) <= \\; dmonitorout_out(14) <= \\; dmonitorout_out(13) <= \\; dmonitorout_out(12) <= \\; dmonitorout_out(11) <= \\; dmonitorout_out(10) <= \\; dmonitorout_out(9) <= \\; dmonitorout_out(8) <= \\; dmonitorout_out(7) <= \\; dmonitorout_out(6) <= \\; dmonitorout_out(5) <= \\; dmonitorout_out(4) <= \\; dmonitorout_out(3) <= \\; dmonitorout_out(2) <= \\; dmonitorout_out(1) <= \\; dmonitorout_out(0) <= \\; dmonitoroutclk_out(0) <= \\; drpdo_common_out(15) <= \\; drpdo_common_out(14) <= \\; drpdo_common_out(13) <= \\; drpdo_common_out(12) <= \\; drpdo_common_out(11) <= \\; drpdo_common_out(10) <= \\; drpdo_common_out(9) <= \\; drpdo_common_out(8) <= \\; drpdo_common_out(7) <= \\; drpdo_common_out(6) <= \\; drpdo_common_out(5) <= \\; drpdo_common_out(4) <= \\; drpdo_common_out(3) <= \\; drpdo_common_out(2) <= \\; drpdo_common_out(1) <= \\; drpdo_common_out(0) <= \\; drpdo_out(15) <= \\; drpdo_out(14) <= \\; drpdo_out(13) <= \\; drpdo_out(12) <= \\; drpdo_out(11) <= \\; drpdo_out(10) <= \\; drpdo_out(9) <= \\; drpdo_out(8) <= \\; drpdo_out(7) <= \\; drpdo_out(6) <= \\; drpdo_out(5) <= \\; drpdo_out(4) <= \\; drpdo_out(3) <= \\; drpdo_out(2) <= \\; drpdo_out(1) <= \\; drpdo_out(0) <= \\; drprdy_common_out(0) <= \\; drprdy_out(0) <= \\; eyescandataerror_out(0) <= \\; gtrefclkmonitor_out(0) <= \\; gtwiz_buffbypass_rx_done_out(0) <= \\; gtwiz_buffbypass_rx_error_out(0) <= \\; gtwiz_buffbypass_tx_done_out(0) <= \\; gtwiz_buffbypass_tx_error_out(0) <= \\; gtwiz_reset_qpll0reset_out(0) <= \\; gtwiz_reset_qpll1reset_out(0) <= \\; gtwiz_reset_rx_cdr_stable_out(0) <= \\; gtwiz_userclk_rx_active_out(0) <= \\; gtwiz_userclk_rx_srcclk_out(0) <= \\; gtwiz_userclk_rx_usrclk2_out(0) <= \\; gtwiz_userclk_rx_usrclk_out(0) <= \\; gtwiz_userclk_tx_active_out(0) <= \\; gtwiz_userclk_tx_srcclk_out(0) <= \\; gtwiz_userclk_tx_usrclk2_out(0) <= \\; gtwiz_userclk_tx_usrclk_out(0) <= \\; gtytxn_out(0) <= \\; gtytxp_out(0) <= \\; pcierategen3_out(0) <= \\; pcierateidle_out(0) <= \\; pcierateqpllpd_out(1) <= \\; pcierateqpllpd_out(0) <= \\; pcierateqpllreset_out(1) <= \\; pcierateqpllreset_out(0) <= \\; pciesynctxsyncdone_out(0) <= \\; pcieusergen3rdy_out(0) <= \\; pcieuserphystatusrst_out(0) <= \\; pcieuserratestart_out(0) <= \\; pcsrsvdout_out(11) <= \\; pcsrsvdout_out(10) <= \\; pcsrsvdout_out(9) <= \\; pcsrsvdout_out(8) <= \\; pcsrsvdout_out(7) <= \\; pcsrsvdout_out(6) <= \\; pcsrsvdout_out(5) <= \\; pcsrsvdout_out(4) <= \\; pcsrsvdout_out(3) <= \\; pcsrsvdout_out(2) <= \\; pcsrsvdout_out(1) <= \\; pcsrsvdout_out(0) <= \\; phystatus_out(0) <= \\; pinrsrvdas_out(7) <= \\; pinrsrvdas_out(6) <= \\; pinrsrvdas_out(5) <= \\; pinrsrvdas_out(4) <= \\; pinrsrvdas_out(3) <= \\; pinrsrvdas_out(2) <= \\; pinrsrvdas_out(1) <= \\; pinrsrvdas_out(0) <= \\; pmarsvdout0_out(7) <= \\; pmarsvdout0_out(6) <= \\; pmarsvdout0_out(5) <= \\; pmarsvdout0_out(4) <= \\; pmarsvdout0_out(3) <= \\; pmarsvdout0_out(2) <= \\; pmarsvdout0_out(1) <= \\; pmarsvdout0_out(0) <= \\; pmarsvdout1_out(7) <= \\; pmarsvdout1_out(6) <= \\; pmarsvdout1_out(5) <= \\; pmarsvdout1_out(4) <= \\; pmarsvdout1_out(3) <= \\; pmarsvdout1_out(2) <= \\; pmarsvdout1_out(1) <= \\; pmarsvdout1_out(0) <= \\; powerpresent_out(0) <= \\; qpll0fbclklost_out(0) <= \\; qpll0lock_out(0) <= \\; qpll0outclk_out(0) <= \\; qpll0outrefclk_out(0) <= \\; qpll0refclklost_out(0) <= \\; qpll1fbclklost_out(0) <= \\; qpll1lock_out(0) <= \\; qpll1outclk_out(0) <= \\; qpll1outrefclk_out(0) <= \\; qpll1refclklost_out(0) <= \\; qplldmonitor0_out(7) <= \\; qplldmonitor0_out(6) <= \\; qplldmonitor0_out(5) <= \\; qplldmonitor0_out(4) <= \\; qplldmonitor0_out(3) <= \\; qplldmonitor0_out(2) <= \\; qplldmonitor0_out(1) <= \\; qplldmonitor0_out(0) <= \\; qplldmonitor1_out(7) <= \\; qplldmonitor1_out(6) <= \\; qplldmonitor1_out(5) <= \\; qplldmonitor1_out(4) <= \\; qplldmonitor1_out(3) <= \\; qplldmonitor1_out(2) <= \\; qplldmonitor1_out(1) <= \\; qplldmonitor1_out(0) <= \\; refclkoutmonitor0_out(0) <= \\; refclkoutmonitor1_out(0) <= \\; resetexception_out(0) <= \\; rxbufstatus_out(2) <= \^rxbufstatus_out\(2); rxbufstatus_out(1) <= \\; rxbufstatus_out(0) <= \\; rxbyteisaligned_out(0) <= \\; rxbyterealign_out(0) <= \\; rxcdrlock_out(0) <= \\; rxcdrphdone_out(0) <= \\; rxchanbondseq_out(0) <= \\; rxchanisaligned_out(0) <= \\; rxchanrealign_out(0) <= \\; rxchbondo_out(4) <= \\; rxchbondo_out(3) <= \\; rxchbondo_out(2) <= \\; rxchbondo_out(1) <= \\; rxchbondo_out(0) <= \\; rxckcaldone_out(0) <= \\; rxcominitdet_out(0) <= \\; rxcommadet_out(0) <= \\; rxcomsasdet_out(0) <= \\; rxcomwakedet_out(0) <= \\; rxctrl0_out(15) <= \\; rxctrl0_out(14) <= \\; rxctrl0_out(13) <= \\; rxctrl0_out(12) <= \\; rxctrl0_out(11) <= \\; rxctrl0_out(10) <= \\; rxctrl0_out(9) <= \\; rxctrl0_out(8) <= \\; rxctrl0_out(7) <= \\; rxctrl0_out(6) <= \\; rxctrl0_out(5) <= \\; rxctrl0_out(4) <= \\; rxctrl0_out(3) <= \\; rxctrl0_out(2) <= \\; rxctrl0_out(1 downto 0) <= \^rxctrl0_out\(1 downto 0); rxctrl1_out(15) <= \\; rxctrl1_out(14) <= \\; rxctrl1_out(13) <= \\; rxctrl1_out(12) <= \\; rxctrl1_out(11) <= \\; rxctrl1_out(10) <= \\; rxctrl1_out(9) <= \\; rxctrl1_out(8) <= \\; rxctrl1_out(7) <= \\; rxctrl1_out(6) <= \\; rxctrl1_out(5) <= \\; rxctrl1_out(4) <= \\; rxctrl1_out(3) <= \\; rxctrl1_out(2) <= \\; rxctrl1_out(1 downto 0) <= \^rxctrl1_out\(1 downto 0); rxctrl2_out(7) <= \\; rxctrl2_out(6) <= \\; rxctrl2_out(5) <= \\; rxctrl2_out(4) <= \\; rxctrl2_out(3) <= \\; rxctrl2_out(2) <= \\; rxctrl2_out(1 downto 0) <= \^rxctrl2_out\(1 downto 0); rxctrl3_out(7) <= \\; rxctrl3_out(6) <= \\; rxctrl3_out(5) <= \\; rxctrl3_out(4) <= \\; rxctrl3_out(3) <= \\; rxctrl3_out(2) <= \\; rxctrl3_out(1 downto 0) <= \^rxctrl3_out\(1 downto 0); rxdata_out(127) <= \\; rxdata_out(126) <= \\; rxdata_out(125) <= \\; rxdata_out(124) <= \\; rxdata_out(123) <= \\; rxdata_out(122) <= \\; rxdata_out(121) <= \\; rxdata_out(120) <= \\; rxdata_out(119) <= \\; rxdata_out(118) <= \\; rxdata_out(117) <= \\; rxdata_out(116) <= \\; rxdata_out(115) <= \\; rxdata_out(114) <= \\; rxdata_out(113) <= \\; rxdata_out(112) <= \\; rxdata_out(111) <= \\; rxdata_out(110) <= \\; rxdata_out(109) <= \\; rxdata_out(108) <= \\; rxdata_out(107) <= \\; rxdata_out(106) <= \\; rxdata_out(105) <= \\; rxdata_out(104) <= \\; rxdata_out(103) <= \\; rxdata_out(102) <= \\; rxdata_out(101) <= \\; rxdata_out(100) <= \\; rxdata_out(99) <= \\; rxdata_out(98) <= \\; rxdata_out(97) <= \\; rxdata_out(96) <= \\; rxdata_out(95) <= \\; rxdata_out(94) <= \\; rxdata_out(93) <= \\; rxdata_out(92) <= \\; rxdata_out(91) <= \\; rxdata_out(90) <= \\; rxdata_out(89) <= \\; rxdata_out(88) <= \\; rxdata_out(87) <= \\; rxdata_out(86) <= \\; rxdata_out(85) <= \\; rxdata_out(84) <= \\; rxdata_out(83) <= \\; rxdata_out(82) <= \\; rxdata_out(81) <= \\; rxdata_out(80) <= \\; rxdata_out(79) <= \\; rxdata_out(78) <= \\; rxdata_out(77) <= \\; rxdata_out(76) <= \\; rxdata_out(75) <= \\; rxdata_out(74) <= \\; rxdata_out(73) <= \\; rxdata_out(72) <= \\; rxdata_out(71) <= \\; rxdata_out(70) <= \\; rxdata_out(69) <= \\; rxdata_out(68) <= \\; rxdata_out(67) <= \\; rxdata_out(66) <= \\; rxdata_out(65) <= \\; rxdata_out(64) <= \\; rxdata_out(63) <= \\; rxdata_out(62) <= \\; rxdata_out(61) <= \\; rxdata_out(60) <= \\; rxdata_out(59) <= \\; rxdata_out(58) <= \\; rxdata_out(57) <= \\; rxdata_out(56) <= \\; rxdata_out(55) <= \\; rxdata_out(54) <= \\; rxdata_out(53) <= \\; rxdata_out(52) <= \\; rxdata_out(51) <= \\; rxdata_out(50) <= \\; rxdata_out(49) <= \\; rxdata_out(48) <= \\; rxdata_out(47) <= \\; rxdata_out(46) <= \\; rxdata_out(45) <= \\; rxdata_out(44) <= \\; rxdata_out(43) <= \\; rxdata_out(42) <= \\; rxdata_out(41) <= \\; rxdata_out(40) <= \\; rxdata_out(39) <= \\; rxdata_out(38) <= \\; rxdata_out(37) <= \\; rxdata_out(36) <= \\; rxdata_out(35) <= \\; rxdata_out(34) <= \\; rxdata_out(33) <= \\; rxdata_out(32) <= \\; rxdata_out(31) <= \\; rxdata_out(30) <= \\; rxdata_out(29) <= \\; rxdata_out(28) <= \\; rxdata_out(27) <= \\; rxdata_out(26) <= \\; rxdata_out(25) <= \\; rxdata_out(24) <= \\; rxdata_out(23) <= \\; rxdata_out(22) <= \\; rxdata_out(21) <= \\; rxdata_out(20) <= \\; rxdata_out(19) <= \\; rxdata_out(18) <= \\; rxdata_out(17) <= \\; rxdata_out(16) <= \\; rxdata_out(15) <= \\; rxdata_out(14) <= \\; rxdata_out(13) <= \\; rxdata_out(12) <= \\; rxdata_out(11) <= \\; rxdata_out(10) <= \\; rxdata_out(9) <= \\; rxdata_out(8) <= \\; rxdata_out(7) <= \\; rxdata_out(6) <= \\; rxdata_out(5) <= \\; rxdata_out(4) <= \\; rxdata_out(3) <= \\; rxdata_out(2) <= \\; rxdata_out(1) <= \\; rxdata_out(0) <= \\; rxdataextendrsvd_out(7) <= \\; rxdataextendrsvd_out(6) <= \\; rxdataextendrsvd_out(5) <= \\; rxdataextendrsvd_out(4) <= \\; rxdataextendrsvd_out(3) <= \\; rxdataextendrsvd_out(2) <= \\; rxdataextendrsvd_out(1) <= \\; rxdataextendrsvd_out(0) <= \\; rxdatavalid_out(1) <= \\; rxdatavalid_out(0) <= \\; rxdlysresetdone_out(0) <= \\; rxelecidle_out(0) <= \\; rxheader_out(5) <= \\; rxheader_out(4) <= \\; rxheader_out(3) <= \\; rxheader_out(2) <= \\; rxheader_out(1) <= \\; rxheader_out(0) <= \\; rxheadervalid_out(1) <= \\; rxheadervalid_out(0) <= \\; rxlfpstresetdet_out(0) <= \\; rxlfpsu2lpexitdet_out(0) <= \\; rxlfpsu3wakedet_out(0) <= \\; rxmonitorout_out(6) <= \\; rxmonitorout_out(5) <= \\; rxmonitorout_out(4) <= \\; rxmonitorout_out(3) <= \\; rxmonitorout_out(2) <= \\; rxmonitorout_out(1) <= \\; rxmonitorout_out(0) <= \\; rxosintdone_out(0) <= \\; rxosintstarted_out(0) <= \\; rxosintstrobedone_out(0) <= \\; rxosintstrobestarted_out(0) <= \\; rxoutclkfabric_out(0) <= \\; rxoutclkpcs_out(0) <= \\; rxphaligndone_out(0) <= \\; rxphalignerr_out(0) <= \\; rxpmaresetdone_out(0) <= \\; rxprbserr_out(0) <= \\; rxprbslocked_out(0) <= \\; rxprgdivresetdone_out(0) <= \\; rxqpisenn_out(0) <= \\; rxqpisenp_out(0) <= \\; rxratedone_out(0) <= \\; rxrecclk0_sel_out(1) <= \\; rxrecclk0_sel_out(0) <= \\; rxrecclk0sel_out(0) <= \\; rxrecclk1_sel_out(1) <= \\; rxrecclk1_sel_out(0) <= \\; rxrecclk1sel_out(0) <= \\; rxrecclkout_out(0) <= \\; rxresetdone_out(0) <= \\; rxsliderdy_out(0) <= \\; rxslipdone_out(0) <= \\; rxslipoutclkrdy_out(0) <= \\; rxslippmardy_out(0) <= \\; rxstartofseq_out(1) <= \\; rxstartofseq_out(0) <= \\; rxstatus_out(2) <= \\; rxstatus_out(1) <= \\; rxstatus_out(0) <= \\; rxsyncdone_out(0) <= \\; rxsyncout_out(0) <= \\; rxvalid_out(0) <= \\; sdm0finalout_out(0) <= \\; sdm0testdata_out(0) <= \\; sdm1finalout_out(0) <= \\; sdm1testdata_out(0) <= \\; tcongpo_out(0) <= \\; tconrsvdout0_out(0) <= \\; txbufstatus_out(1) <= \^txbufstatus_out\(1); txbufstatus_out(0) <= \\; txcomfinish_out(0) <= \\; txdccdone_out(0) <= \\; txdlysresetdone_out(0) <= \\; txoutclkfabric_out(0) <= \\; txoutclkpcs_out(0) <= \\; txphaligndone_out(0) <= \\; txphinitdone_out(0) <= \\; txpmaresetdone_out(0) <= \\; txprgdivresetdone_out(0) <= \\; txqpisenn_out(0) <= \\; txqpisenp_out(0) <= \\; txratedone_out(0) <= \\; txresetdone_out(0) <= \\; txsyncdone_out(0) <= \\; txsyncout_out(0) <= \\; ubdaddr_out(0) <= \\; ubden_out(0) <= \\; ubdi_out(0) <= \\; ubdwe_out(0) <= \\; ubmdmtdo_out(0) <= \\; ubrsvdout_out(0) <= \\; ubtxuart_out(0) <= \\; GND: unisim.vcomponents.GND port map ( G => \\ ); \gen_gtwizard_gthe3_top.gig_ethernet_pcs_pma_0_gt_gtwizard_gthe3_inst\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_gthe3 port map ( cplllock_out(0) => cplllock_out(0), drpclk_in(0) => drpclk_in(0), gthrxn_in(0) => gthrxn_in(0), gthrxp_in(0) => gthrxp_in(0), gthtxn_out(0) => gthtxn_out(0), gthtxp_out(0) => gthtxp_out(0), gtpowergood_out(0) => gtpowergood_out(0), gtrefclk0_in(0) => gtrefclk0_in(0), gtwiz_reset_all_in(0) => gtwiz_reset_all_in(0), gtwiz_reset_rx_datapath_in(0) => gtwiz_reset_rx_datapath_in(0), gtwiz_reset_rx_done_out(0) => gtwiz_reset_rx_done_out(0), gtwiz_reset_tx_datapath_in(0) => gtwiz_reset_tx_datapath_in(0), gtwiz_reset_tx_done_out(0) => gtwiz_reset_tx_done_out(0), gtwiz_userclk_tx_active_in(0) => gtwiz_userclk_tx_active_in(0), gtwiz_userdata_rx_out(15 downto 0) => gtwiz_userdata_rx_out(15 downto 0), gtwiz_userdata_tx_in(15 downto 0) => gtwiz_userdata_tx_in(15 downto 0), rxbufstatus_out(0) => \^rxbufstatus_out\(2), rxclkcorcnt_out(1 downto 0) => rxclkcorcnt_out(1 downto 0), rxctrl0_out(1 downto 0) => \^rxctrl0_out\(1 downto 0), rxctrl1_out(1 downto 0) => \^rxctrl1_out\(1 downto 0), rxctrl2_out(1 downto 0) => \^rxctrl2_out\(1 downto 0), rxctrl3_out(1 downto 0) => \^rxctrl3_out\(1 downto 0), rxmcommaalignen_in(0) => rxmcommaalignen_in(0), rxoutclk_out(0) => rxoutclk_out(0), rxpd_in(0) => rxpd_in(1), rxusrclk_in(0) => rxusrclk_in(0), txbufstatus_out(0) => \^txbufstatus_out\(1), txctrl0_in(1 downto 0) => txctrl0_in(1 downto 0), txctrl1_in(1 downto 0) => txctrl1_in(1 downto 0), txctrl2_in(1 downto 0) => txctrl2_in(1 downto 0), txelecidle_in(0) => txelecidle_in(0), txoutclk_out(0) => txoutclk_out(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt is port ( gtwiz_userclk_tx_active_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_userclk_rx_active_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_reset_clk_freerun_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_reset_all_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_reset_tx_pll_and_datapath_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_reset_tx_datapath_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_reset_rx_pll_and_datapath_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_reset_rx_datapath_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_reset_rx_cdr_stable_out : out STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_reset_tx_done_out : out STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_reset_rx_done_out : out STD_LOGIC_VECTOR ( 0 to 0 ); gtwiz_userdata_tx_in : in STD_LOGIC_VECTOR ( 15 downto 0 ); gtwiz_userdata_rx_out : out STD_LOGIC_VECTOR ( 15 downto 0 ); cpllrefclksel_in : in STD_LOGIC_VECTOR ( 2 downto 0 ); drpaddr_in : in STD_LOGIC_VECTOR ( 8 downto 0 ); drpclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); drpdi_in : in STD_LOGIC_VECTOR ( 15 downto 0 ); drpen_in : in STD_LOGIC_VECTOR ( 0 to 0 ); drpwe_in : in STD_LOGIC_VECTOR ( 0 to 0 ); eyescanreset_in : in STD_LOGIC_VECTOR ( 0 to 0 ); eyescantrigger_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gthrxn_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gthrxp_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtrefclk0_in : in STD_LOGIC_VECTOR ( 0 to 0 ); gtrefclk1_in : in STD_LOGIC_VECTOR ( 0 to 0 ); loopback_in : in STD_LOGIC_VECTOR ( 2 downto 0 ); pcsrsvdin_in : in STD_LOGIC_VECTOR ( 15 downto 0 ); rx8b10ben_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxbufreset_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxcdrhold_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxcommadeten_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxdfelpmreset_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxlpmen_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxmcommaalignen_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxpcommaalignen_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxpcsreset_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxpd_in : in STD_LOGIC_VECTOR ( 1 downto 0 ); rxpmareset_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxpolarity_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxprbscntreset_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxprbssel_in : in STD_LOGIC_VECTOR ( 3 downto 0 ); rxrate_in : in STD_LOGIC_VECTOR ( 2 downto 0 ); rxusrclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); rxusrclk2_in : in STD_LOGIC_VECTOR ( 0 to 0 ); tx8b10ben_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txctrl0_in : in STD_LOGIC_VECTOR ( 15 downto 0 ); txctrl1_in : in STD_LOGIC_VECTOR ( 15 downto 0 ); txctrl2_in : in STD_LOGIC_VECTOR ( 7 downto 0 ); txdiffctrl_in : in STD_LOGIC_VECTOR ( 3 downto 0 ); txelecidle_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txinhibit_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txpcsreset_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txpd_in : in STD_LOGIC_VECTOR ( 1 downto 0 ); txpmareset_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txpolarity_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txpostcursor_in : in STD_LOGIC_VECTOR ( 4 downto 0 ); txprbsforceerr_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txprbssel_in : in STD_LOGIC_VECTOR ( 3 downto 0 ); txprecursor_in : in STD_LOGIC_VECTOR ( 4 downto 0 ); txusrclk_in : in STD_LOGIC_VECTOR ( 0 to 0 ); txusrclk2_in : in STD_LOGIC_VECTOR ( 0 to 0 ); cplllock_out : out STD_LOGIC_VECTOR ( 0 to 0 ); dmonitorout_out : out STD_LOGIC_VECTOR ( 16 downto 0 ); drpdo_out : out STD_LOGIC_VECTOR ( 15 downto 0 ); drprdy_out : out STD_LOGIC_VECTOR ( 0 to 0 ); eyescandataerror_out : out STD_LOGIC_VECTOR ( 0 to 0 ); gthtxn_out : out STD_LOGIC_VECTOR ( 0 to 0 ); gthtxp_out : out STD_LOGIC_VECTOR ( 0 to 0 ); gtpowergood_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxbufstatus_out : out STD_LOGIC_VECTOR ( 2 downto 0 ); rxbyteisaligned_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxbyterealign_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxclkcorcnt_out : out STD_LOGIC_VECTOR ( 1 downto 0 ); rxcommadet_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxctrl0_out : out STD_LOGIC_VECTOR ( 15 downto 0 ); rxctrl1_out : out STD_LOGIC_VECTOR ( 15 downto 0 ); rxctrl2_out : out STD_LOGIC_VECTOR ( 7 downto 0 ); rxctrl3_out : out STD_LOGIC_VECTOR ( 7 downto 0 ); rxoutclk_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxpmaresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxprbserr_out : out STD_LOGIC_VECTOR ( 0 to 0 ); rxresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 ); txbufstatus_out : out STD_LOGIC_VECTOR ( 1 downto 0 ); txoutclk_out : out STD_LOGIC_VECTOR ( 0 to 0 ); txpmaresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 ); txprgdivresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 ); txresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt : entity is "gig_ethernet_pcs_pma_0_gt,gig_ethernet_pcs_pma_0_gt_gtwizard_top,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt : entity is "yes"; attribute x_core_info : string; attribute x_core_info of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt : entity is "gig_ethernet_pcs_pma_0_gt_gtwizard_top,Vivado 2020.2"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt is signal \\ : STD_LOGIC; signal \^rxbufstatus_out\ : STD_LOGIC_VECTOR ( 2 to 2 ); signal \^rxctrl0_out\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^rxctrl1_out\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^rxctrl2_out\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^rxctrl3_out\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^txbufstatus_out\ : STD_LOGIC_VECTOR ( 1 to 1 ); signal NLW_inst_bufgtce_out_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_bufgtcemask_out_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_bufgtdiv_out_UNCONNECTED : STD_LOGIC_VECTOR ( 8 downto 0 ); signal NLW_inst_bufgtreset_out_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_bufgtrstmask_out_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_cpllfbclklost_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_cpllrefclklost_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_dmonitorout_out_UNCONNECTED : STD_LOGIC_VECTOR ( 16 downto 0 ); signal NLW_inst_dmonitoroutclk_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_drpdo_common_out_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 ); signal NLW_inst_drpdo_out_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 ); signal NLW_inst_drprdy_common_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_drprdy_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_eyescandataerror_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_gtrefclkmonitor_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_gtwiz_buffbypass_rx_done_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_gtwiz_buffbypass_rx_error_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_gtwiz_buffbypass_tx_done_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_gtwiz_buffbypass_tx_error_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_gtwiz_reset_qpll0reset_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_gtwiz_reset_qpll1reset_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_gtwiz_reset_rx_cdr_stable_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_gtwiz_userclk_rx_active_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_gtwiz_userclk_rx_srcclk_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_gtwiz_userclk_rx_usrclk2_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_gtwiz_userclk_rx_usrclk_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_gtwiz_userclk_tx_active_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_gtwiz_userclk_tx_srcclk_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_gtwiz_userclk_tx_usrclk2_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_gtwiz_userclk_tx_usrclk_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_gtytxn_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_gtytxp_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_pcierategen3_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_pcierateidle_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_pcierateqpllpd_out_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_pcierateqpllreset_out_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_pciesynctxsyncdone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_pcieusergen3rdy_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_pcieuserphystatusrst_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_pcieuserratestart_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_pcsrsvdout_out_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 ); signal NLW_inst_phystatus_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_pinrsrvdas_out_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_pmarsvdout0_out_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_pmarsvdout1_out_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_powerpresent_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_qpll0fbclklost_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_qpll0lock_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_qpll0outclk_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_qpll0outrefclk_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_qpll0refclklost_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_qpll1fbclklost_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_qpll1lock_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_qpll1outclk_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_qpll1outrefclk_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_qpll1refclklost_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_qplldmonitor0_out_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_qplldmonitor1_out_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_refclkoutmonitor0_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_refclkoutmonitor1_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_resetexception_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_rxbufstatus_out_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_rxbyteisaligned_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_rxbyterealign_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_rxcdrlock_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_rxcdrphdone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_rxchanbondseq_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_rxchanisaligned_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_rxchanrealign_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_rxchbondo_out_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_inst_rxckcaldone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_rxcominitdet_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_rxcommadet_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_rxcomsasdet_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_rxcomwakedet_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_rxctrl0_out_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 2 ); signal NLW_inst_rxctrl1_out_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 2 ); signal NLW_inst_rxctrl2_out_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 2 ); signal NLW_inst_rxctrl3_out_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 2 ); signal NLW_inst_rxdata_out_UNCONNECTED : STD_LOGIC_VECTOR ( 127 downto 0 ); signal NLW_inst_rxdataextendrsvd_out_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_rxdatavalid_out_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_rxdlysresetdone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_rxelecidle_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_rxheader_out_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_rxheadervalid_out_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_rxlfpstresetdet_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_rxlfpsu2lpexitdet_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_rxlfpsu3wakedet_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_rxmonitorout_out_UNCONNECTED : STD_LOGIC_VECTOR ( 6 downto 0 ); signal NLW_inst_rxosintdone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_rxosintstarted_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_rxosintstrobedone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_rxosintstrobestarted_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_rxoutclkfabric_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_rxoutclkpcs_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_rxphaligndone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_rxphalignerr_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_rxpmaresetdone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_rxprbserr_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_rxprbslocked_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_rxprgdivresetdone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_rxqpisenn_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_rxqpisenp_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_rxratedone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_rxrecclk0_sel_out_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_rxrecclk0sel_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_rxrecclk1_sel_out_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_rxrecclk1sel_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_rxrecclkout_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_rxresetdone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_rxsliderdy_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_rxslipdone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_rxslipoutclkrdy_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_rxslippmardy_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_rxstartofseq_out_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_rxstatus_out_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_rxsyncdone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_rxsyncout_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_rxvalid_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_sdm0finalout_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_sdm0testdata_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_sdm1finalout_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_sdm1testdata_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_tcongpo_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_tconrsvdout0_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_txbufstatus_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_txcomfinish_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_txdccdone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_txdlysresetdone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_txoutclkfabric_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_txoutclkpcs_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_txphaligndone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_txphinitdone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_txpmaresetdone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_txprgdivresetdone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_txqpisenn_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_txqpisenp_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_txratedone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_txresetdone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_txsyncdone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_txsyncout_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_ubdaddr_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_ubden_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_ubdi_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_ubdwe_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_ubmdmtdo_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_ubrsvdout_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_ubtxuart_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); attribute C_CHANNEL_ENABLE : string; attribute C_CHANNEL_ENABLE of inst : label is "192'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; attribute C_COMMON_SCALING_FACTOR : integer; attribute C_COMMON_SCALING_FACTOR of inst : label is 1; attribute C_CPLL_VCO_FREQUENCY : string; attribute C_CPLL_VCO_FREQUENCY of inst : label is "2500.000000"; attribute C_ENABLE_COMMON_USRCLK : integer; attribute C_ENABLE_COMMON_USRCLK of inst : label is 0; attribute C_FORCE_COMMONS : integer; attribute C_FORCE_COMMONS of inst : label is 0; attribute C_FREERUN_FREQUENCY : string; attribute C_FREERUN_FREQUENCY of inst : label is "50.000000"; attribute C_GT_REV : integer; attribute C_GT_REV of inst : label is 17; attribute C_GT_TYPE : integer; attribute C_GT_TYPE of inst : label is 0; attribute C_INCLUDE_CPLL_CAL : integer; attribute C_INCLUDE_CPLL_CAL of inst : label is 2; attribute C_LOCATE_COMMON : integer; attribute C_LOCATE_COMMON of inst : label is 0; attribute C_LOCATE_IN_SYSTEM_IBERT_CORE : integer; attribute C_LOCATE_IN_SYSTEM_IBERT_CORE of inst : label is 2; attribute C_LOCATE_RESET_CONTROLLER : integer; attribute C_LOCATE_RESET_CONTROLLER of inst : label is 0; attribute C_LOCATE_RX_BUFFER_BYPASS_CONTROLLER : integer; attribute C_LOCATE_RX_BUFFER_BYPASS_CONTROLLER of inst : label is 0; attribute C_LOCATE_RX_USER_CLOCKING : integer; attribute C_LOCATE_RX_USER_CLOCKING of inst : label is 1; attribute C_LOCATE_TX_BUFFER_BYPASS_CONTROLLER : integer; attribute C_LOCATE_TX_BUFFER_BYPASS_CONTROLLER of inst : label is 0; attribute C_LOCATE_TX_USER_CLOCKING : integer; attribute C_LOCATE_TX_USER_CLOCKING of inst : label is 1; attribute C_LOCATE_USER_DATA_WIDTH_SIZING : integer; attribute C_LOCATE_USER_DATA_WIDTH_SIZING of inst : label is 0; attribute C_PCIE_CORECLK_FREQ : integer; attribute C_PCIE_CORECLK_FREQ of inst : label is 250; attribute C_PCIE_ENABLE : integer; attribute C_PCIE_ENABLE of inst : label is 0; attribute C_RESET_CONTROLLER_INSTANCE_CTRL : integer; attribute C_RESET_CONTROLLER_INSTANCE_CTRL of inst : label is 0; attribute C_RESET_SEQUENCE_INTERVAL : integer; attribute C_RESET_SEQUENCE_INTERVAL of inst : label is 0; attribute C_RX_BUFFBYPASS_MODE : integer; attribute C_RX_BUFFBYPASS_MODE of inst : label is 0; attribute C_RX_BUFFER_BYPASS_INSTANCE_CTRL : integer; attribute C_RX_BUFFER_BYPASS_INSTANCE_CTRL of inst : label is 0; attribute C_RX_BUFFER_MODE : integer; attribute C_RX_BUFFER_MODE of inst : label is 1; attribute C_RX_CB_DISP : string; attribute C_RX_CB_DISP of inst : label is "8'b00000000"; attribute C_RX_CB_K : string; attribute C_RX_CB_K of inst : label is "8'b00000000"; attribute C_RX_CB_LEN_SEQ : integer; attribute C_RX_CB_LEN_SEQ of inst : label is 1; attribute C_RX_CB_MAX_LEVEL : integer; attribute C_RX_CB_MAX_LEVEL of inst : label is 1; attribute C_RX_CB_NUM_SEQ : integer; attribute C_RX_CB_NUM_SEQ of inst : label is 0; attribute C_RX_CB_VAL : string; attribute C_RX_CB_VAL of inst : label is "80'b00000000000000000000000000000000000000000000000000000000000000000000000000000000"; attribute C_RX_CC_DISP : string; attribute C_RX_CC_DISP of inst : label is "8'b00000000"; attribute C_RX_CC_ENABLE : integer; attribute C_RX_CC_ENABLE of inst : label is 1; attribute C_RX_CC_K : string; attribute C_RX_CC_K of inst : label is "8'b00010001"; attribute C_RX_CC_LEN_SEQ : integer; attribute C_RX_CC_LEN_SEQ of inst : label is 2; attribute C_RX_CC_NUM_SEQ : integer; attribute C_RX_CC_NUM_SEQ of inst : label is 2; attribute C_RX_CC_PERIODICITY : integer; attribute C_RX_CC_PERIODICITY of inst : label is 5000; attribute C_RX_CC_VAL : string; attribute C_RX_CC_VAL of inst : label is "80'b00000000000000000000001011010100101111000000000000000000000000010100000010111100"; attribute C_RX_COMMA_M_ENABLE : integer; attribute C_RX_COMMA_M_ENABLE of inst : label is 1; attribute C_RX_COMMA_M_VAL : string; attribute C_RX_COMMA_M_VAL of inst : label is "10'b1010000011"; attribute C_RX_COMMA_P_ENABLE : integer; attribute C_RX_COMMA_P_ENABLE of inst : label is 1; attribute C_RX_COMMA_P_VAL : string; attribute C_RX_COMMA_P_VAL of inst : label is "10'b0101111100"; attribute C_RX_DATA_DECODING : integer; attribute C_RX_DATA_DECODING of inst : label is 1; attribute C_RX_ENABLE : integer; attribute C_RX_ENABLE of inst : label is 1; attribute C_RX_INT_DATA_WIDTH : integer; attribute C_RX_INT_DATA_WIDTH of inst : label is 20; attribute C_RX_LINE_RATE : string; attribute C_RX_LINE_RATE of inst : label is "1.250000"; attribute C_RX_MASTER_CHANNEL_IDX : integer; attribute C_RX_MASTER_CHANNEL_IDX of inst : label is 96; attribute C_RX_OUTCLK_BUFG_GT_DIV : integer; attribute C_RX_OUTCLK_BUFG_GT_DIV of inst : label is 1; attribute C_RX_OUTCLK_FREQUENCY : string; attribute C_RX_OUTCLK_FREQUENCY of inst : label is "62.500000"; attribute C_RX_OUTCLK_SOURCE : integer; attribute C_RX_OUTCLK_SOURCE of inst : label is 1; attribute C_RX_PLL_TYPE : integer; attribute C_RX_PLL_TYPE of inst : label is 2; attribute C_RX_RECCLK_OUTPUT : string; attribute C_RX_RECCLK_OUTPUT of inst : label is "192'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; attribute C_RX_REFCLK_FREQUENCY : string; attribute C_RX_REFCLK_FREQUENCY of inst : label is "125.000000"; attribute C_RX_SLIDE_MODE : integer; attribute C_RX_SLIDE_MODE of inst : label is 0; attribute C_RX_USER_CLOCKING_CONTENTS : integer; attribute C_RX_USER_CLOCKING_CONTENTS of inst : label is 0; attribute C_RX_USER_CLOCKING_INSTANCE_CTRL : integer; attribute C_RX_USER_CLOCKING_INSTANCE_CTRL of inst : label is 0; attribute C_RX_USER_CLOCKING_RATIO_FSRC_FUSRCLK : integer; attribute C_RX_USER_CLOCKING_RATIO_FSRC_FUSRCLK of inst : label is 1; attribute C_RX_USER_CLOCKING_RATIO_FUSRCLK_FUSRCLK2 : integer; attribute C_RX_USER_CLOCKING_RATIO_FUSRCLK_FUSRCLK2 of inst : label is 1; attribute C_RX_USER_CLOCKING_SOURCE : integer; attribute C_RX_USER_CLOCKING_SOURCE of inst : label is 0; attribute C_RX_USER_DATA_WIDTH : integer; attribute C_RX_USER_DATA_WIDTH of inst : label is 16; attribute C_RX_USRCLK2_FREQUENCY : string; attribute C_RX_USRCLK2_FREQUENCY of inst : label is "62.500000"; attribute C_RX_USRCLK_FREQUENCY : string; attribute C_RX_USRCLK_FREQUENCY of inst : label is "62.500000"; attribute C_SECONDARY_QPLL_ENABLE : integer; attribute C_SECONDARY_QPLL_ENABLE of inst : label is 0; attribute C_SECONDARY_QPLL_REFCLK_FREQUENCY : string; attribute C_SECONDARY_QPLL_REFCLK_FREQUENCY of inst : label is "257.812500"; attribute C_SIM_CPLL_CAL_BYPASS : integer; attribute C_SIM_CPLL_CAL_BYPASS of inst : label is 1; attribute C_TOTAL_NUM_CHANNELS : integer; attribute C_TOTAL_NUM_CHANNELS of inst : label is 1; attribute C_TOTAL_NUM_COMMONS : integer; attribute C_TOTAL_NUM_COMMONS of inst : label is 0; attribute C_TOTAL_NUM_COMMONS_EXAMPLE : integer; attribute C_TOTAL_NUM_COMMONS_EXAMPLE of inst : label is 0; attribute C_TXPROGDIV_FREQ_ENABLE : integer; attribute C_TXPROGDIV_FREQ_ENABLE of inst : label is 1; attribute C_TXPROGDIV_FREQ_SOURCE : integer; attribute C_TXPROGDIV_FREQ_SOURCE of inst : label is 2; attribute C_TXPROGDIV_FREQ_VAL : string; attribute C_TXPROGDIV_FREQ_VAL of inst : label is "125.000000"; attribute C_TX_BUFFBYPASS_MODE : integer; attribute C_TX_BUFFBYPASS_MODE of inst : label is 0; attribute C_TX_BUFFER_BYPASS_INSTANCE_CTRL : integer; attribute C_TX_BUFFER_BYPASS_INSTANCE_CTRL of inst : label is 0; attribute C_TX_BUFFER_MODE : integer; attribute C_TX_BUFFER_MODE of inst : label is 1; attribute C_TX_DATA_ENCODING : integer; attribute C_TX_DATA_ENCODING of inst : label is 1; attribute C_TX_ENABLE : integer; attribute C_TX_ENABLE of inst : label is 1; attribute C_TX_INT_DATA_WIDTH : integer; attribute C_TX_INT_DATA_WIDTH of inst : label is 20; attribute C_TX_LINE_RATE : string; attribute C_TX_LINE_RATE of inst : label is "1.250000"; attribute C_TX_MASTER_CHANNEL_IDX : integer; attribute C_TX_MASTER_CHANNEL_IDX of inst : label is 96; attribute C_TX_OUTCLK_BUFG_GT_DIV : integer; attribute C_TX_OUTCLK_BUFG_GT_DIV of inst : label is 2; attribute C_TX_OUTCLK_FREQUENCY : string; attribute C_TX_OUTCLK_FREQUENCY of inst : label is "62.500000"; attribute C_TX_OUTCLK_SOURCE : integer; attribute C_TX_OUTCLK_SOURCE of inst : label is 4; attribute C_TX_PLL_TYPE : integer; attribute C_TX_PLL_TYPE of inst : label is 2; attribute C_TX_REFCLK_FREQUENCY : string; attribute C_TX_REFCLK_FREQUENCY of inst : label is "125.000000"; attribute C_TX_USER_CLOCKING_CONTENTS : integer; attribute C_TX_USER_CLOCKING_CONTENTS of inst : label is 0; attribute C_TX_USER_CLOCKING_INSTANCE_CTRL : integer; attribute C_TX_USER_CLOCKING_INSTANCE_CTRL of inst : label is 0; attribute C_TX_USER_CLOCKING_RATIO_FSRC_FUSRCLK : integer; attribute C_TX_USER_CLOCKING_RATIO_FSRC_FUSRCLK of inst : label is 1; attribute C_TX_USER_CLOCKING_RATIO_FUSRCLK_FUSRCLK2 : integer; attribute C_TX_USER_CLOCKING_RATIO_FUSRCLK_FUSRCLK2 of inst : label is 1; attribute C_TX_USER_CLOCKING_SOURCE : integer; attribute C_TX_USER_CLOCKING_SOURCE of inst : label is 0; attribute C_TX_USER_DATA_WIDTH : integer; attribute C_TX_USER_DATA_WIDTH of inst : label is 16; attribute C_TX_USRCLK2_FREQUENCY : string; attribute C_TX_USRCLK2_FREQUENCY of inst : label is "62.500000"; attribute C_TX_USRCLK_FREQUENCY : string; attribute C_TX_USRCLK_FREQUENCY of inst : label is "62.500000"; attribute C_USER_GTPOWERGOOD_DELAY_EN : integer; attribute C_USER_GTPOWERGOOD_DELAY_EN of inst : label is 0; begin dmonitorout_out(16) <= \\; dmonitorout_out(15) <= \\; dmonitorout_out(14) <= \\; dmonitorout_out(13) <= \\; dmonitorout_out(12) <= \\; dmonitorout_out(11) <= \\; dmonitorout_out(10) <= \\; dmonitorout_out(9) <= \\; dmonitorout_out(8) <= \\; dmonitorout_out(7) <= \\; dmonitorout_out(6) <= \\; dmonitorout_out(5) <= \\; dmonitorout_out(4) <= \\; dmonitorout_out(3) <= \\; dmonitorout_out(2) <= \\; dmonitorout_out(1) <= \\; dmonitorout_out(0) <= \\; drpdo_out(15) <= \\; drpdo_out(14) <= \\; drpdo_out(13) <= \\; drpdo_out(12) <= \\; drpdo_out(11) <= \\; drpdo_out(10) <= \\; drpdo_out(9) <= \\; drpdo_out(8) <= \\; drpdo_out(7) <= \\; drpdo_out(6) <= \\; drpdo_out(5) <= \\; drpdo_out(4) <= \\; drpdo_out(3) <= \\; drpdo_out(2) <= \\; drpdo_out(1) <= \\; drpdo_out(0) <= \\; drprdy_out(0) <= \\; eyescandataerror_out(0) <= \\; gtwiz_reset_rx_cdr_stable_out(0) <= \\; rxbufstatus_out(2) <= \^rxbufstatus_out\(2); rxbufstatus_out(1) <= \\; rxbufstatus_out(0) <= \\; rxbyteisaligned_out(0) <= \\; rxbyterealign_out(0) <= \\; rxcommadet_out(0) <= \\; rxctrl0_out(15) <= \\; rxctrl0_out(14) <= \\; rxctrl0_out(13) <= \\; rxctrl0_out(12) <= \\; rxctrl0_out(11) <= \\; rxctrl0_out(10) <= \\; rxctrl0_out(9) <= \\; rxctrl0_out(8) <= \\; rxctrl0_out(7) <= \\; rxctrl0_out(6) <= \\; rxctrl0_out(5) <= \\; rxctrl0_out(4) <= \\; rxctrl0_out(3) <= \\; rxctrl0_out(2) <= \\; rxctrl0_out(1 downto 0) <= \^rxctrl0_out\(1 downto 0); rxctrl1_out(15) <= \\; rxctrl1_out(14) <= \\; rxctrl1_out(13) <= \\; rxctrl1_out(12) <= \\; rxctrl1_out(11) <= \\; rxctrl1_out(10) <= \\; rxctrl1_out(9) <= \\; rxctrl1_out(8) <= \\; rxctrl1_out(7) <= \\; rxctrl1_out(6) <= \\; rxctrl1_out(5) <= \\; rxctrl1_out(4) <= \\; rxctrl1_out(3) <= \\; rxctrl1_out(2) <= \\; rxctrl1_out(1 downto 0) <= \^rxctrl1_out\(1 downto 0); rxctrl2_out(7) <= \\; rxctrl2_out(6) <= \\; rxctrl2_out(5) <= \\; rxctrl2_out(4) <= \\; rxctrl2_out(3) <= \\; rxctrl2_out(2) <= \\; rxctrl2_out(1 downto 0) <= \^rxctrl2_out\(1 downto 0); rxctrl3_out(7) <= \\; rxctrl3_out(6) <= \\; rxctrl3_out(5) <= \\; rxctrl3_out(4) <= \\; rxctrl3_out(3) <= \\; rxctrl3_out(2) <= \\; rxctrl3_out(1 downto 0) <= \^rxctrl3_out\(1 downto 0); rxpmaresetdone_out(0) <= \\; rxprbserr_out(0) <= \\; rxresetdone_out(0) <= \\; txbufstatus_out(1) <= \^txbufstatus_out\(1); txbufstatus_out(0) <= \\; txpmaresetdone_out(0) <= \\; txprgdivresetdone_out(0) <= \\; txresetdone_out(0) <= \\; GND: unisim.vcomponents.GND port map ( G => \\ ); inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt_gtwizard_top port map ( bgbypassb_in(0) => '1', bgmonitorenb_in(0) => '1', bgpdb_in(0) => '1', bgrcalovrd_in(4 downto 0) => B"11111", bgrcalovrdenb_in(0) => '1', bufgtce_out(2 downto 0) => NLW_inst_bufgtce_out_UNCONNECTED(2 downto 0), bufgtcemask_out(2 downto 0) => NLW_inst_bufgtcemask_out_UNCONNECTED(2 downto 0), bufgtdiv_out(8 downto 0) => NLW_inst_bufgtdiv_out_UNCONNECTED(8 downto 0), bufgtreset_out(2 downto 0) => NLW_inst_bufgtreset_out_UNCONNECTED(2 downto 0), bufgtrstmask_out(2 downto 0) => NLW_inst_bufgtrstmask_out_UNCONNECTED(2 downto 0), cdrstepdir_in(0) => '0', cdrstepsq_in(0) => '0', cdrstepsx_in(0) => '0', cfgreset_in(0) => '0', clkrsvd0_in(0) => '0', clkrsvd1_in(0) => '0', cpllfbclklost_out(0) => NLW_inst_cpllfbclklost_out_UNCONNECTED(0), cpllfreqlock_in(0) => '0', cplllock_out(0) => cplllock_out(0), cplllockdetclk_in(0) => '0', cplllocken_in(0) => '1', cpllpd_in(0) => '0', cpllrefclklost_out(0) => NLW_inst_cpllrefclklost_out_UNCONNECTED(0), cpllrefclksel_in(2 downto 0) => B"001", cpllreset_in(0) => '0', dmonfiforeset_in(0) => '0', dmonitorclk_in(0) => '0', dmonitorout_out(16 downto 0) => NLW_inst_dmonitorout_out_UNCONNECTED(16 downto 0), dmonitoroutclk_out(0) => NLW_inst_dmonitoroutclk_out_UNCONNECTED(0), drpaddr_common_in(8 downto 0) => B"000000000", drpaddr_in(8 downto 0) => B"000000000", drpclk_common_in(0) => '0', drpclk_in(0) => drpclk_in(0), drpdi_common_in(15 downto 0) => B"0000000000000000", drpdi_in(15 downto 0) => B"0000000000000000", drpdo_common_out(15 downto 0) => NLW_inst_drpdo_common_out_UNCONNECTED(15 downto 0), drpdo_out(15 downto 0) => NLW_inst_drpdo_out_UNCONNECTED(15 downto 0), drpen_common_in(0) => '0', drpen_in(0) => '0', drprdy_common_out(0) => NLW_inst_drprdy_common_out_UNCONNECTED(0), drprdy_out(0) => NLW_inst_drprdy_out_UNCONNECTED(0), drprst_in(0) => '0', drpwe_common_in(0) => '0', drpwe_in(0) => '0', elpcaldvorwren_in(0) => '0', elpcalpaorwren_in(0) => '0', evoddphicaldone_in(0) => '0', evoddphicalstart_in(0) => '0', evoddphidrden_in(0) => '0', evoddphidwren_in(0) => '0', evoddphixrden_in(0) => '0', evoddphixwren_in(0) => '0', eyescandataerror_out(0) => NLW_inst_eyescandataerror_out_UNCONNECTED(0), eyescanmode_in(0) => '0', eyescanreset_in(0) => '0', eyescantrigger_in(0) => '0', freqos_in(0) => '0', gtgrefclk0_in(0) => '0', gtgrefclk1_in(0) => '0', gtgrefclk_in(0) => '0', gthrxn_in(0) => gthrxn_in(0), gthrxp_in(0) => gthrxp_in(0), gthtxn_out(0) => gthtxn_out(0), gthtxp_out(0) => gthtxp_out(0), gtnorthrefclk00_in(0) => '0', gtnorthrefclk01_in(0) => '0', gtnorthrefclk0_in(0) => '0', gtnorthrefclk10_in(0) => '0', gtnorthrefclk11_in(0) => '0', gtnorthrefclk1_in(0) => '0', gtpowergood_out(0) => gtpowergood_out(0), gtrefclk00_in(0) => '0', gtrefclk01_in(0) => '0', gtrefclk0_in(0) => gtrefclk0_in(0), gtrefclk10_in(0) => '0', gtrefclk11_in(0) => '0', gtrefclk1_in(0) => '0', gtrefclkmonitor_out(0) => NLW_inst_gtrefclkmonitor_out_UNCONNECTED(0), gtresetsel_in(0) => '0', gtrsvd_in(15 downto 0) => B"0000000000000000", gtrxreset_in(0) => '0', gtrxresetsel_in(0) => '0', gtsouthrefclk00_in(0) => '0', gtsouthrefclk01_in(0) => '0', gtsouthrefclk0_in(0) => '0', gtsouthrefclk10_in(0) => '0', gtsouthrefclk11_in(0) => '0', gtsouthrefclk1_in(0) => '0', gttxreset_in(0) => '0', gttxresetsel_in(0) => '0', gtwiz_buffbypass_rx_done_out(0) => NLW_inst_gtwiz_buffbypass_rx_done_out_UNCONNECTED(0), gtwiz_buffbypass_rx_error_out(0) => NLW_inst_gtwiz_buffbypass_rx_error_out_UNCONNECTED(0), gtwiz_buffbypass_rx_reset_in(0) => '0', gtwiz_buffbypass_rx_start_user_in(0) => '0', gtwiz_buffbypass_tx_done_out(0) => NLW_inst_gtwiz_buffbypass_tx_done_out_UNCONNECTED(0), gtwiz_buffbypass_tx_error_out(0) => NLW_inst_gtwiz_buffbypass_tx_error_out_UNCONNECTED(0), gtwiz_buffbypass_tx_reset_in(0) => '0', gtwiz_buffbypass_tx_start_user_in(0) => '0', gtwiz_gthe3_cpll_cal_bufg_ce_in(0) => '0', gtwiz_gthe3_cpll_cal_cnt_tol_in(17 downto 0) => B"000000000000000000", gtwiz_gthe3_cpll_cal_txoutclk_period_in(17 downto 0) => B"000000000000000000", gtwiz_gthe4_cpll_cal_bufg_ce_in(0) => '0', gtwiz_gthe4_cpll_cal_cnt_tol_in(17 downto 0) => B"000000000000000000", gtwiz_gthe4_cpll_cal_txoutclk_period_in(17 downto 0) => B"000000000000000000", gtwiz_gtye4_cpll_cal_bufg_ce_in(0) => '0', gtwiz_gtye4_cpll_cal_cnt_tol_in(17 downto 0) => B"000000000000000000", gtwiz_gtye4_cpll_cal_txoutclk_period_in(17 downto 0) => B"000000000000000000", gtwiz_reset_all_in(0) => gtwiz_reset_all_in(0), gtwiz_reset_clk_freerun_in(0) => '0', gtwiz_reset_qpll0lock_in(0) => '0', gtwiz_reset_qpll0reset_out(0) => NLW_inst_gtwiz_reset_qpll0reset_out_UNCONNECTED(0), gtwiz_reset_qpll1lock_in(0) => '0', gtwiz_reset_qpll1reset_out(0) => NLW_inst_gtwiz_reset_qpll1reset_out_UNCONNECTED(0), gtwiz_reset_rx_cdr_stable_out(0) => NLW_inst_gtwiz_reset_rx_cdr_stable_out_UNCONNECTED(0), gtwiz_reset_rx_datapath_in(0) => gtwiz_reset_rx_datapath_in(0), gtwiz_reset_rx_done_in(0) => '0', gtwiz_reset_rx_done_out(0) => gtwiz_reset_rx_done_out(0), gtwiz_reset_rx_pll_and_datapath_in(0) => '0', gtwiz_reset_tx_datapath_in(0) => gtwiz_reset_tx_datapath_in(0), gtwiz_reset_tx_done_in(0) => '0', gtwiz_reset_tx_done_out(0) => gtwiz_reset_tx_done_out(0), gtwiz_reset_tx_pll_and_datapath_in(0) => '0', gtwiz_userclk_rx_active_in(0) => '0', gtwiz_userclk_rx_active_out(0) => NLW_inst_gtwiz_userclk_rx_active_out_UNCONNECTED(0), gtwiz_userclk_rx_reset_in(0) => '0', gtwiz_userclk_rx_srcclk_out(0) => NLW_inst_gtwiz_userclk_rx_srcclk_out_UNCONNECTED(0), gtwiz_userclk_rx_usrclk2_out(0) => NLW_inst_gtwiz_userclk_rx_usrclk2_out_UNCONNECTED(0), gtwiz_userclk_rx_usrclk_out(0) => NLW_inst_gtwiz_userclk_rx_usrclk_out_UNCONNECTED(0), gtwiz_userclk_tx_active_in(0) => gtwiz_userclk_tx_active_in(0), gtwiz_userclk_tx_active_out(0) => NLW_inst_gtwiz_userclk_tx_active_out_UNCONNECTED(0), gtwiz_userclk_tx_reset_in(0) => '0', gtwiz_userclk_tx_srcclk_out(0) => NLW_inst_gtwiz_userclk_tx_srcclk_out_UNCONNECTED(0), gtwiz_userclk_tx_usrclk2_out(0) => NLW_inst_gtwiz_userclk_tx_usrclk2_out_UNCONNECTED(0), gtwiz_userclk_tx_usrclk_out(0) => NLW_inst_gtwiz_userclk_tx_usrclk_out_UNCONNECTED(0), gtwiz_userdata_rx_out(15 downto 0) => gtwiz_userdata_rx_out(15 downto 0), gtwiz_userdata_tx_in(15 downto 0) => gtwiz_userdata_tx_in(15 downto 0), gtyrxn_in(0) => '0', gtyrxp_in(0) => '0', gtytxn_out(0) => NLW_inst_gtytxn_out_UNCONNECTED(0), gtytxp_out(0) => NLW_inst_gtytxp_out_UNCONNECTED(0), incpctrl_in(0) => '0', loopback_in(2 downto 0) => B"000", looprsvd_in(0) => '0', lpbkrxtxseren_in(0) => '0', lpbktxrxseren_in(0) => '0', pcieeqrxeqadaptdone_in(0) => '0', pcierategen3_out(0) => NLW_inst_pcierategen3_out_UNCONNECTED(0), pcierateidle_out(0) => NLW_inst_pcierateidle_out_UNCONNECTED(0), pcierateqpll0_in(0) => '0', pcierateqpll1_in(0) => '0', pcierateqpllpd_out(1 downto 0) => NLW_inst_pcierateqpllpd_out_UNCONNECTED(1 downto 0), pcierateqpllreset_out(1 downto 0) => NLW_inst_pcierateqpllreset_out_UNCONNECTED(1 downto 0), pcierstidle_in(0) => '0', pciersttxsyncstart_in(0) => '0', pciesynctxsyncdone_out(0) => NLW_inst_pciesynctxsyncdone_out_UNCONNECTED(0), pcieusergen3rdy_out(0) => NLW_inst_pcieusergen3rdy_out_UNCONNECTED(0), pcieuserphystatusrst_out(0) => NLW_inst_pcieuserphystatusrst_out_UNCONNECTED(0), pcieuserratedone_in(0) => '0', pcieuserratestart_out(0) => NLW_inst_pcieuserratestart_out_UNCONNECTED(0), pcsrsvdin2_in(4 downto 0) => B"00000", pcsrsvdin_in(15 downto 0) => B"0000000000000000", pcsrsvdout_out(11 downto 0) => NLW_inst_pcsrsvdout_out_UNCONNECTED(11 downto 0), phystatus_out(0) => NLW_inst_phystatus_out_UNCONNECTED(0), pinrsrvdas_out(7 downto 0) => NLW_inst_pinrsrvdas_out_UNCONNECTED(7 downto 0), pmarsvd0_in(7 downto 0) => B"00000000", pmarsvd1_in(7 downto 0) => B"00000000", pmarsvdin_in(4 downto 0) => B"00000", pmarsvdout0_out(7 downto 0) => NLW_inst_pmarsvdout0_out_UNCONNECTED(7 downto 0), pmarsvdout1_out(7 downto 0) => NLW_inst_pmarsvdout1_out_UNCONNECTED(7 downto 0), powerpresent_out(0) => NLW_inst_powerpresent_out_UNCONNECTED(0), qpll0clk_in(0) => '0', qpll0clkrsvd0_in(0) => '0', qpll0clkrsvd1_in(0) => '0', qpll0fbclklost_out(0) => NLW_inst_qpll0fbclklost_out_UNCONNECTED(0), qpll0fbdiv_in(0) => '0', qpll0freqlock_in(0) => '0', qpll0lock_out(0) => NLW_inst_qpll0lock_out_UNCONNECTED(0), qpll0lockdetclk_in(0) => '0', qpll0locken_in(0) => '0', qpll0outclk_out(0) => NLW_inst_qpll0outclk_out_UNCONNECTED(0), qpll0outrefclk_out(0) => NLW_inst_qpll0outrefclk_out_UNCONNECTED(0), qpll0pd_in(0) => '1', qpll0refclk_in(0) => '0', qpll0refclklost_out(0) => NLW_inst_qpll0refclklost_out_UNCONNECTED(0), qpll0refclksel_in(2 downto 0) => B"001", qpll0reset_in(0) => '1', qpll1clk_in(0) => '0', qpll1clkrsvd0_in(0) => '0', qpll1clkrsvd1_in(0) => '0', qpll1fbclklost_out(0) => NLW_inst_qpll1fbclklost_out_UNCONNECTED(0), qpll1fbdiv_in(0) => '0', qpll1freqlock_in(0) => '0', qpll1lock_out(0) => NLW_inst_qpll1lock_out_UNCONNECTED(0), qpll1lockdetclk_in(0) => '0', qpll1locken_in(0) => '0', qpll1outclk_out(0) => NLW_inst_qpll1outclk_out_UNCONNECTED(0), qpll1outrefclk_out(0) => NLW_inst_qpll1outrefclk_out_UNCONNECTED(0), qpll1pd_in(0) => '1', qpll1refclk_in(0) => '0', qpll1refclklost_out(0) => NLW_inst_qpll1refclklost_out_UNCONNECTED(0), qpll1refclksel_in(2 downto 0) => B"001", qpll1reset_in(0) => '1', qplldmonitor0_out(7 downto 0) => NLW_inst_qplldmonitor0_out_UNCONNECTED(7 downto 0), qplldmonitor1_out(7 downto 0) => NLW_inst_qplldmonitor1_out_UNCONNECTED(7 downto 0), qpllrsvd1_in(7 downto 0) => B"00000000", qpllrsvd2_in(4 downto 0) => B"00000", qpllrsvd3_in(4 downto 0) => B"00000", qpllrsvd4_in(7 downto 0) => B"00000000", rcalenb_in(0) => '1', refclkoutmonitor0_out(0) => NLW_inst_refclkoutmonitor0_out_UNCONNECTED(0), refclkoutmonitor1_out(0) => NLW_inst_refclkoutmonitor1_out_UNCONNECTED(0), resetexception_out(0) => NLW_inst_resetexception_out_UNCONNECTED(0), resetovrd_in(0) => '0', rstclkentx_in(0) => '0', rx8b10ben_in(0) => '1', rxafecfoken_in(0) => '0', rxbufreset_in(0) => '0', rxbufstatus_out(2) => \^rxbufstatus_out\(2), rxbufstatus_out(1 downto 0) => NLW_inst_rxbufstatus_out_UNCONNECTED(1 downto 0), rxbyteisaligned_out(0) => NLW_inst_rxbyteisaligned_out_UNCONNECTED(0), rxbyterealign_out(0) => NLW_inst_rxbyterealign_out_UNCONNECTED(0), rxcdrfreqreset_in(0) => '0', rxcdrhold_in(0) => '0', rxcdrlock_out(0) => NLW_inst_rxcdrlock_out_UNCONNECTED(0), rxcdrovrden_in(0) => '0', rxcdrphdone_out(0) => NLW_inst_rxcdrphdone_out_UNCONNECTED(0), rxcdrreset_in(0) => '0', rxcdrresetrsv_in(0) => '0', rxchanbondseq_out(0) => NLW_inst_rxchanbondseq_out_UNCONNECTED(0), rxchanisaligned_out(0) => NLW_inst_rxchanisaligned_out_UNCONNECTED(0), rxchanrealign_out(0) => NLW_inst_rxchanrealign_out_UNCONNECTED(0), rxchbonden_in(0) => '0', rxchbondi_in(4 downto 0) => B"00000", rxchbondlevel_in(2 downto 0) => B"000", rxchbondmaster_in(0) => '0', rxchbondo_out(4 downto 0) => NLW_inst_rxchbondo_out_UNCONNECTED(4 downto 0), rxchbondslave_in(0) => '0', rxckcaldone_out(0) => NLW_inst_rxckcaldone_out_UNCONNECTED(0), rxckcalreset_in(0) => '0', rxckcalstart_in(0) => '0', rxclkcorcnt_out(1 downto 0) => rxclkcorcnt_out(1 downto 0), rxcominitdet_out(0) => NLW_inst_rxcominitdet_out_UNCONNECTED(0), rxcommadet_out(0) => NLW_inst_rxcommadet_out_UNCONNECTED(0), rxcommadeten_in(0) => '1', rxcomsasdet_out(0) => NLW_inst_rxcomsasdet_out_UNCONNECTED(0), rxcomwakedet_out(0) => NLW_inst_rxcomwakedet_out_UNCONNECTED(0), rxctrl0_out(15 downto 2) => NLW_inst_rxctrl0_out_UNCONNECTED(15 downto 2), rxctrl0_out(1 downto 0) => \^rxctrl0_out\(1 downto 0), rxctrl1_out(15 downto 2) => NLW_inst_rxctrl1_out_UNCONNECTED(15 downto 2), rxctrl1_out(1 downto 0) => \^rxctrl1_out\(1 downto 0), rxctrl2_out(7 downto 2) => NLW_inst_rxctrl2_out_UNCONNECTED(7 downto 2), rxctrl2_out(1 downto 0) => \^rxctrl2_out\(1 downto 0), rxctrl3_out(7 downto 2) => NLW_inst_rxctrl3_out_UNCONNECTED(7 downto 2), rxctrl3_out(1 downto 0) => \^rxctrl3_out\(1 downto 0), rxdata_out(127 downto 0) => NLW_inst_rxdata_out_UNCONNECTED(127 downto 0), rxdataextendrsvd_out(7 downto 0) => NLW_inst_rxdataextendrsvd_out_UNCONNECTED(7 downto 0), rxdatavalid_out(1 downto 0) => NLW_inst_rxdatavalid_out_UNCONNECTED(1 downto 0), rxdccforcestart_in(0) => '0', rxdfeagcctrl_in(1 downto 0) => B"01", rxdfeagchold_in(0) => '0', rxdfeagcovrden_in(0) => '0', rxdfecfokfcnum_in(0) => '0', rxdfecfokfen_in(0) => '0', rxdfecfokfpulse_in(0) => '0', rxdfecfokhold_in(0) => '0', rxdfecfokovren_in(0) => '0', rxdfekhhold_in(0) => '0', rxdfekhovrden_in(0) => '0', rxdfelfhold_in(0) => '0', rxdfelfovrden_in(0) => '0', rxdfelpmreset_in(0) => '0', rxdfetap10hold_in(0) => '0', rxdfetap10ovrden_in(0) => '0', rxdfetap11hold_in(0) => '0', rxdfetap11ovrden_in(0) => '0', rxdfetap12hold_in(0) => '0', rxdfetap12ovrden_in(0) => '0', rxdfetap13hold_in(0) => '0', rxdfetap13ovrden_in(0) => '0', rxdfetap14hold_in(0) => '0', rxdfetap14ovrden_in(0) => '0', rxdfetap15hold_in(0) => '0', rxdfetap15ovrden_in(0) => '0', rxdfetap2hold_in(0) => '0', rxdfetap2ovrden_in(0) => '0', rxdfetap3hold_in(0) => '0', rxdfetap3ovrden_in(0) => '0', rxdfetap4hold_in(0) => '0', rxdfetap4ovrden_in(0) => '0', rxdfetap5hold_in(0) => '0', rxdfetap5ovrden_in(0) => '0', rxdfetap6hold_in(0) => '0', rxdfetap6ovrden_in(0) => '0', rxdfetap7hold_in(0) => '0', rxdfetap7ovrden_in(0) => '0', rxdfetap8hold_in(0) => '0', rxdfetap8ovrden_in(0) => '0', rxdfetap9hold_in(0) => '0', rxdfetap9ovrden_in(0) => '0', rxdfeuthold_in(0) => '0', rxdfeutovrden_in(0) => '0', rxdfevphold_in(0) => '0', rxdfevpovrden_in(0) => '0', rxdfevsen_in(0) => '0', rxdfexyden_in(0) => '1', rxdlybypass_in(0) => '1', rxdlyen_in(0) => '0', rxdlyovrden_in(0) => '0', rxdlysreset_in(0) => '0', rxdlysresetdone_out(0) => NLW_inst_rxdlysresetdone_out_UNCONNECTED(0), rxelecidle_out(0) => NLW_inst_rxelecidle_out_UNCONNECTED(0), rxelecidlemode_in(1 downto 0) => B"11", rxeqtraining_in(0) => '0', rxgearboxslip_in(0) => '0', rxheader_out(5 downto 0) => NLW_inst_rxheader_out_UNCONNECTED(5 downto 0), rxheadervalid_out(1 downto 0) => NLW_inst_rxheadervalid_out_UNCONNECTED(1 downto 0), rxlatclk_in(0) => '0', rxlfpstresetdet_out(0) => NLW_inst_rxlfpstresetdet_out_UNCONNECTED(0), rxlfpsu2lpexitdet_out(0) => NLW_inst_rxlfpsu2lpexitdet_out_UNCONNECTED(0), rxlfpsu3wakedet_out(0) => NLW_inst_rxlfpsu3wakedet_out_UNCONNECTED(0), rxlpmen_in(0) => '1', rxlpmgchold_in(0) => '0', rxlpmgcovrden_in(0) => '0', rxlpmhfhold_in(0) => '0', rxlpmhfovrden_in(0) => '0', rxlpmlfhold_in(0) => '0', rxlpmlfklovrden_in(0) => '0', rxlpmoshold_in(0) => '0', rxlpmosovrden_in(0) => '0', rxmcommaalignen_in(0) => rxmcommaalignen_in(0), rxmonitorout_out(6 downto 0) => NLW_inst_rxmonitorout_out_UNCONNECTED(6 downto 0), rxmonitorsel_in(1 downto 0) => B"00", rxoobreset_in(0) => '0', rxoscalreset_in(0) => '0', rxoshold_in(0) => '0', rxosintcfg_in(3 downto 0) => B"1101", rxosintdone_out(0) => NLW_inst_rxosintdone_out_UNCONNECTED(0), rxosinten_in(0) => '1', rxosinthold_in(0) => '0', rxosintovrden_in(0) => '0', rxosintstarted_out(0) => NLW_inst_rxosintstarted_out_UNCONNECTED(0), rxosintstrobe_in(0) => '0', rxosintstrobedone_out(0) => NLW_inst_rxosintstrobedone_out_UNCONNECTED(0), rxosintstrobestarted_out(0) => NLW_inst_rxosintstrobestarted_out_UNCONNECTED(0), rxosinttestovrden_in(0) => '0', rxosovrden_in(0) => '0', rxoutclk_out(0) => rxoutclk_out(0), rxoutclkfabric_out(0) => NLW_inst_rxoutclkfabric_out_UNCONNECTED(0), rxoutclkpcs_out(0) => NLW_inst_rxoutclkpcs_out_UNCONNECTED(0), rxoutclksel_in(2 downto 0) => B"010", rxpcommaalignen_in(0) => '0', rxpcsreset_in(0) => '0', rxpd_in(1) => rxpd_in(1), rxpd_in(0) => '0', rxphalign_in(0) => '0', rxphaligndone_out(0) => NLW_inst_rxphaligndone_out_UNCONNECTED(0), rxphalignen_in(0) => '0', rxphalignerr_out(0) => NLW_inst_rxphalignerr_out_UNCONNECTED(0), rxphdlypd_in(0) => '1', rxphdlyreset_in(0) => '0', rxphovrden_in(0) => '0', rxpllclksel_in(1 downto 0) => B"00", rxpmareset_in(0) => '0', rxpmaresetdone_out(0) => NLW_inst_rxpmaresetdone_out_UNCONNECTED(0), rxpolarity_in(0) => '0', rxprbscntreset_in(0) => '0', rxprbserr_out(0) => NLW_inst_rxprbserr_out_UNCONNECTED(0), rxprbslocked_out(0) => NLW_inst_rxprbslocked_out_UNCONNECTED(0), rxprbssel_in(3 downto 0) => B"0000", rxprgdivresetdone_out(0) => NLW_inst_rxprgdivresetdone_out_UNCONNECTED(0), rxprogdivreset_in(0) => '0', rxqpien_in(0) => '0', rxqpisenn_out(0) => NLW_inst_rxqpisenn_out_UNCONNECTED(0), rxqpisenp_out(0) => NLW_inst_rxqpisenp_out_UNCONNECTED(0), rxrate_in(2 downto 0) => B"000", rxratedone_out(0) => NLW_inst_rxratedone_out_UNCONNECTED(0), rxratemode_in(0) => '0', rxrecclk0_sel_out(1 downto 0) => NLW_inst_rxrecclk0_sel_out_UNCONNECTED(1 downto 0), rxrecclk0sel_out(0) => NLW_inst_rxrecclk0sel_out_UNCONNECTED(0), rxrecclk1_sel_out(1 downto 0) => NLW_inst_rxrecclk1_sel_out_UNCONNECTED(1 downto 0), rxrecclk1sel_out(0) => NLW_inst_rxrecclk1sel_out_UNCONNECTED(0), rxrecclkout_out(0) => NLW_inst_rxrecclkout_out_UNCONNECTED(0), rxresetdone_out(0) => NLW_inst_rxresetdone_out_UNCONNECTED(0), rxslide_in(0) => '0', rxsliderdy_out(0) => NLW_inst_rxsliderdy_out_UNCONNECTED(0), rxslipdone_out(0) => NLW_inst_rxslipdone_out_UNCONNECTED(0), rxslipoutclk_in(0) => '0', rxslipoutclkrdy_out(0) => NLW_inst_rxslipoutclkrdy_out_UNCONNECTED(0), rxslippma_in(0) => '0', rxslippmardy_out(0) => NLW_inst_rxslippmardy_out_UNCONNECTED(0), rxstartofseq_out(1 downto 0) => NLW_inst_rxstartofseq_out_UNCONNECTED(1 downto 0), rxstatus_out(2 downto 0) => NLW_inst_rxstatus_out_UNCONNECTED(2 downto 0), rxsyncallin_in(0) => '0', rxsyncdone_out(0) => NLW_inst_rxsyncdone_out_UNCONNECTED(0), rxsyncin_in(0) => '0', rxsyncmode_in(0) => '0', rxsyncout_out(0) => NLW_inst_rxsyncout_out_UNCONNECTED(0), rxsysclksel_in(1 downto 0) => B"00", rxtermination_in(0) => '0', rxuserrdy_in(0) => '1', rxusrclk2_in(0) => '0', rxusrclk_in(0) => rxusrclk_in(0), rxvalid_out(0) => NLW_inst_rxvalid_out_UNCONNECTED(0), sdm0data_in(0) => '0', sdm0finalout_out(0) => NLW_inst_sdm0finalout_out_UNCONNECTED(0), sdm0reset_in(0) => '0', sdm0testdata_out(0) => NLW_inst_sdm0testdata_out_UNCONNECTED(0), sdm0toggle_in(0) => '0', sdm0width_in(0) => '0', sdm1data_in(0) => '0', sdm1finalout_out(0) => NLW_inst_sdm1finalout_out_UNCONNECTED(0), sdm1reset_in(0) => '0', sdm1testdata_out(0) => NLW_inst_sdm1testdata_out_UNCONNECTED(0), sdm1toggle_in(0) => '0', sdm1width_in(0) => '0', sigvalidclk_in(0) => '0', tcongpi_in(0) => '0', tcongpo_out(0) => NLW_inst_tcongpo_out_UNCONNECTED(0), tconpowerup_in(0) => '0', tconreset_in(0) => '0', tconrsvdin1_in(0) => '0', tconrsvdout0_out(0) => NLW_inst_tconrsvdout0_out_UNCONNECTED(0), tstin_in(19 downto 0) => B"00000000000000000000", tx8b10bbypass_in(7 downto 0) => B"00000000", tx8b10ben_in(0) => '1', txbufdiffctrl_in(2 downto 0) => B"000", txbufstatus_out(1) => \^txbufstatus_out\(1), txbufstatus_out(0) => NLW_inst_txbufstatus_out_UNCONNECTED(0), txcomfinish_out(0) => NLW_inst_txcomfinish_out_UNCONNECTED(0), txcominit_in(0) => '0', txcomsas_in(0) => '0', txcomwake_in(0) => '0', txctrl0_in(15 downto 2) => B"00000000000000", txctrl0_in(1 downto 0) => txctrl0_in(1 downto 0), txctrl1_in(15 downto 2) => B"00000000000000", txctrl1_in(1 downto 0) => txctrl1_in(1 downto 0), txctrl2_in(7 downto 2) => B"000000", txctrl2_in(1 downto 0) => txctrl2_in(1 downto 0), txdata_in(127 downto 0) => B"00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", txdataextendrsvd_in(7 downto 0) => B"00000000", txdccdone_out(0) => NLW_inst_txdccdone_out_UNCONNECTED(0), txdccforcestart_in(0) => '0', txdccreset_in(0) => '0', txdeemph_in(0) => '0', txdetectrx_in(0) => '0', txdiffctrl_in(3 downto 0) => B"1000", txdiffpd_in(0) => '0', txdlybypass_in(0) => '1', txdlyen_in(0) => '0', txdlyhold_in(0) => '0', txdlyovrden_in(0) => '0', txdlysreset_in(0) => '0', txdlysresetdone_out(0) => NLW_inst_txdlysresetdone_out_UNCONNECTED(0), txdlyupdown_in(0) => '0', txelecidle_in(0) => txelecidle_in(0), txelforcestart_in(0) => '0', txheader_in(5 downto 0) => B"000000", txinhibit_in(0) => '0', txlatclk_in(0) => '0', txlfpstreset_in(0) => '0', txlfpsu2lpexit_in(0) => '0', txlfpsu3wake_in(0) => '0', txmaincursor_in(6 downto 0) => B"1000000", txmargin_in(2 downto 0) => B"000", txmuxdcdexhold_in(0) => '0', txmuxdcdorwren_in(0) => '0', txoneszeros_in(0) => '0', txoutclk_out(0) => txoutclk_out(0), txoutclkfabric_out(0) => NLW_inst_txoutclkfabric_out_UNCONNECTED(0), txoutclkpcs_out(0) => NLW_inst_txoutclkpcs_out_UNCONNECTED(0), txoutclksel_in(2 downto 0) => B"101", txpcsreset_in(0) => '0', txpd_in(1 downto 0) => B"00", txpdelecidlemode_in(0) => '0', txphalign_in(0) => '0', txphaligndone_out(0) => NLW_inst_txphaligndone_out_UNCONNECTED(0), txphalignen_in(0) => '0', txphdlypd_in(0) => '1', txphdlyreset_in(0) => '0', txphdlytstclk_in(0) => '0', txphinit_in(0) => '0', txphinitdone_out(0) => NLW_inst_txphinitdone_out_UNCONNECTED(0), txphovrden_in(0) => '0', txpippmen_in(0) => '0', txpippmovrden_in(0) => '0', txpippmpd_in(0) => '0', txpippmsel_in(0) => '0', txpippmstepsize_in(4 downto 0) => B"00000", txpisopd_in(0) => '0', txpllclksel_in(1 downto 0) => B"00", txpmareset_in(0) => '0', txpmaresetdone_out(0) => NLW_inst_txpmaresetdone_out_UNCONNECTED(0), txpolarity_in(0) => '0', txpostcursor_in(4 downto 0) => B"00000", txpostcursorinv_in(0) => '0', txprbsforceerr_in(0) => '0', txprbssel_in(3 downto 0) => B"0000", txprecursor_in(4 downto 0) => B"00000", txprecursorinv_in(0) => '0', txprgdivresetdone_out(0) => NLW_inst_txprgdivresetdone_out_UNCONNECTED(0), txprogdivreset_in(0) => '0', txqpibiasen_in(0) => '0', txqpisenn_out(0) => NLW_inst_txqpisenn_out_UNCONNECTED(0), txqpisenp_out(0) => NLW_inst_txqpisenp_out_UNCONNECTED(0), txqpistrongpdown_in(0) => '0', txqpiweakpup_in(0) => '0', txrate_in(2 downto 0) => B"000", txratedone_out(0) => NLW_inst_txratedone_out_UNCONNECTED(0), txratemode_in(0) => '0', txresetdone_out(0) => NLW_inst_txresetdone_out_UNCONNECTED(0), txsequence_in(6 downto 0) => B"0000000", txswing_in(0) => '0', txsyncallin_in(0) => '0', txsyncdone_out(0) => NLW_inst_txsyncdone_out_UNCONNECTED(0), txsyncin_in(0) => '0', txsyncmode_in(0) => '0', txsyncout_out(0) => NLW_inst_txsyncout_out_UNCONNECTED(0), txsysclksel_in(1 downto 0) => B"00", txuserrdy_in(0) => '1', txusrclk2_in(0) => '0', txusrclk_in(0) => '0', ubcfgstreamen_in(0) => '0', ubdaddr_out(0) => NLW_inst_ubdaddr_out_UNCONNECTED(0), ubden_out(0) => NLW_inst_ubden_out_UNCONNECTED(0), ubdi_out(0) => NLW_inst_ubdi_out_UNCONNECTED(0), ubdo_in(0) => '0', ubdrdy_in(0) => '0', ubdwe_out(0) => NLW_inst_ubdwe_out_UNCONNECTED(0), ubenable_in(0) => '0', ubgpi_in(0) => '0', ubintr_in(0) => '0', ubiolmbrst_in(0) => '0', ubmbrst_in(0) => '0', ubmdmcapture_in(0) => '0', ubmdmdbgrst_in(0) => '0', ubmdmdbgupdate_in(0) => '0', ubmdmregen_in(0) => '0', ubmdmshift_in(0) => '0', ubmdmsysrst_in(0) => '0', ubmdmtck_in(0) => '0', ubmdmtdi_in(0) => '0', ubmdmtdo_out(0) => NLW_inst_ubmdmtdo_out_UNCONNECTED(0), ubrsvdout_out(0) => NLW_inst_ubrsvdout_out_UNCONNECTED(0), ubtxuart_out(0) => NLW_inst_ubtxuart_out_UNCONNECTED(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_transceiver is port ( cplllock : out STD_LOGIC; txn : out STD_LOGIC; txp : out STD_LOGIC; gtpowergood : out STD_LOGIC; rxoutclk : out STD_LOGIC; txoutclk : out STD_LOGIC; rxchariscomma : out STD_LOGIC; rxcharisk : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); \rxdata_reg[7]_0\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); rxdisperr : out STD_LOGIC; rxnotintable : out STD_LOGIC; rxbuferr : out STD_LOGIC; txbuferr : out STD_LOGIC; data_in : out STD_LOGIC; mmcm_reset : out STD_LOGIC; userclk2 : in STD_LOGIC; enablealign : in STD_LOGIC; userclk : in STD_LOGIC; txreset : in STD_LOGIC; rxuserclk2 : in STD_LOGIC; SR : in STD_LOGIC_VECTOR ( 0 to 0 ); mmcm_locked : in STD_LOGIC; pma_reset : in STD_LOGIC; independent_clock_bufg : in STD_LOGIC; rxn : in STD_LOGIC; rxp : in STD_LOGIC; gtrefclk : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 7 downto 0 ); powerdown : in STD_LOGIC; txchardispval_reg_reg_0 : in STD_LOGIC_VECTOR ( 0 to 0 ); txchardispmode_reg_reg_0 : in STD_LOGIC_VECTOR ( 0 to 0 ); txcharisk_reg_reg_0 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_transceiver; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_transceiver is signal \^cplllock\ : STD_LOGIC; signal encommaalign_int : STD_LOGIC; signal gig_ethernet_pcs_pma_0_gt_i_n_118 : STD_LOGIC; signal gig_ethernet_pcs_pma_0_gt_i_n_58 : STD_LOGIC; signal gtwiz_reset_rx_done_out_int : STD_LOGIC; signal gtwiz_reset_rx_done_out_int_reg : STD_LOGIC; signal gtwiz_reset_rx_done_out_int_reg0 : STD_LOGIC; signal gtwiz_reset_rx_done_out_reg : STD_LOGIC; signal gtwiz_reset_tx_done_out_int : STD_LOGIC; signal gtwiz_reset_tx_done_out_int_reg : STD_LOGIC; signal gtwiz_reset_tx_done_out_int_reg0 : STD_LOGIC; signal p_0_in : STD_LOGIC; signal p_1_in : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \p_1_in__0\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \p_1_in__1\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \p_1_in__2\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal rxchariscomma_double : STD_LOGIC_VECTOR ( 1 downto 0 ); signal rxchariscomma_i_1_n_0 : STD_LOGIC; signal \rxchariscomma_reg__0\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal rxcharisk_double : STD_LOGIC_VECTOR ( 1 downto 0 ); signal rxcharisk_i_1_n_0 : STD_LOGIC; signal \rxcharisk_reg__0\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal rxclkcorcnt_double : STD_LOGIC_VECTOR ( 1 downto 0 ); signal rxclkcorcnt_int : STD_LOGIC_VECTOR ( 1 downto 0 ); signal rxclkcorcnt_reg : STD_LOGIC_VECTOR ( 1 downto 0 ); signal rxctrl0_out : STD_LOGIC_VECTOR ( 1 downto 0 ); signal rxctrl1_out : STD_LOGIC_VECTOR ( 1 downto 0 ); signal rxctrl2_out : STD_LOGIC_VECTOR ( 1 downto 0 ); signal rxctrl3_out : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \rxdata[0]_i_1_n_0\ : STD_LOGIC; signal \rxdata[1]_i_1_n_0\ : STD_LOGIC; signal \rxdata[2]_i_1_n_0\ : STD_LOGIC; signal \rxdata[3]_i_1_n_0\ : STD_LOGIC; signal \rxdata[4]_i_1_n_0\ : STD_LOGIC; signal \rxdata[5]_i_1_n_0\ : STD_LOGIC; signal \rxdata[6]_i_1_n_0\ : STD_LOGIC; signal \rxdata[7]_i_1_n_0\ : STD_LOGIC; signal rxdata_double : STD_LOGIC_VECTOR ( 15 downto 0 ); signal rxdata_int : STD_LOGIC_VECTOR ( 15 downto 0 ); signal rxdata_reg : STD_LOGIC_VECTOR ( 15 downto 0 ); signal rxdisperr_double : STD_LOGIC_VECTOR ( 1 downto 0 ); signal rxdisperr_i_1_n_0 : STD_LOGIC; signal \rxdisperr_reg__0\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal rxnotintable_double : STD_LOGIC_VECTOR ( 1 downto 0 ); signal rxnotintable_i_1_n_0 : STD_LOGIC; signal \rxnotintable_reg__0\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal rxpowerdown : STD_LOGIC; signal rxpowerdown_double : STD_LOGIC; signal \rxpowerdown_reg__0\ : STD_LOGIC; signal toggle : STD_LOGIC; signal toggle_i_1_n_0 : STD_LOGIC; signal txbufstatus_reg : STD_LOGIC_VECTOR ( 1 to 1 ); signal txchardispmode_double : STD_LOGIC_VECTOR ( 1 downto 0 ); signal txchardispmode_int : STD_LOGIC_VECTOR ( 1 downto 0 ); signal txchardispval_double : STD_LOGIC_VECTOR ( 1 downto 0 ); signal txchardispval_int : STD_LOGIC_VECTOR ( 1 downto 0 ); signal txcharisk_double : STD_LOGIC_VECTOR ( 1 downto 0 ); signal txcharisk_int : STD_LOGIC_VECTOR ( 1 downto 0 ); signal txdata_double : STD_LOGIC_VECTOR ( 15 downto 0 ); signal txdata_int : STD_LOGIC_VECTOR ( 15 downto 0 ); signal txpowerdown : STD_LOGIC; signal txpowerdown_double : STD_LOGIC; signal \txpowerdown_reg__0\ : STD_LOGIC; signal NLW_gig_ethernet_pcs_pma_0_gt_i_dmonitorout_out_UNCONNECTED : STD_LOGIC_VECTOR ( 16 downto 0 ); signal NLW_gig_ethernet_pcs_pma_0_gt_i_drpdo_out_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 ); signal NLW_gig_ethernet_pcs_pma_0_gt_i_drprdy_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_gig_ethernet_pcs_pma_0_gt_i_eyescandataerror_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_gig_ethernet_pcs_pma_0_gt_i_gtwiz_reset_rx_cdr_stable_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_gig_ethernet_pcs_pma_0_gt_i_rxbufstatus_out_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_gig_ethernet_pcs_pma_0_gt_i_rxbyteisaligned_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_gig_ethernet_pcs_pma_0_gt_i_rxbyterealign_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_gig_ethernet_pcs_pma_0_gt_i_rxcommadet_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_gig_ethernet_pcs_pma_0_gt_i_rxctrl0_out_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 2 ); signal NLW_gig_ethernet_pcs_pma_0_gt_i_rxctrl1_out_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 2 ); signal NLW_gig_ethernet_pcs_pma_0_gt_i_rxctrl2_out_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 2 ); signal NLW_gig_ethernet_pcs_pma_0_gt_i_rxctrl3_out_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 2 ); signal NLW_gig_ethernet_pcs_pma_0_gt_i_rxpmaresetdone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_gig_ethernet_pcs_pma_0_gt_i_rxprbserr_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_gig_ethernet_pcs_pma_0_gt_i_rxresetdone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_gig_ethernet_pcs_pma_0_gt_i_txbufstatus_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_gig_ethernet_pcs_pma_0_gt_i_txpmaresetdone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_gig_ethernet_pcs_pma_0_gt_i_txprgdivresetdone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_gig_ethernet_pcs_pma_0_gt_i_txresetdone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of gig_ethernet_pcs_pma_0_gt_i : label is "gig_ethernet_pcs_pma_0_gt,gig_ethernet_pcs_pma_0_gt_gtwizard_top,{}"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of gig_ethernet_pcs_pma_0_gt_i : label is "gig_ethernet_pcs_pma_0_gt_gtwizard_top,Vivado 2020.2"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of gig_ethernet_pcs_pma_0_gt_i : label is "yes"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of rxchariscomma_i_1 : label is "soft_lutpair67"; attribute SOFT_HLUTNM of rxcharisk_i_1 : label is "soft_lutpair67"; attribute SOFT_HLUTNM of \rxdata[0]_i_1\ : label is "soft_lutpair63"; attribute SOFT_HLUTNM of \rxdata[1]_i_1\ : label is "soft_lutpair63"; attribute SOFT_HLUTNM of \rxdata[2]_i_1\ : label is "soft_lutpair64"; attribute SOFT_HLUTNM of \rxdata[3]_i_1\ : label is "soft_lutpair64"; attribute SOFT_HLUTNM of \rxdata[4]_i_1\ : label is "soft_lutpair65"; attribute SOFT_HLUTNM of \rxdata[5]_i_1\ : label is "soft_lutpair65"; attribute SOFT_HLUTNM of \rxdata[6]_i_1\ : label is "soft_lutpair66"; attribute SOFT_HLUTNM of \rxdata[7]_i_1\ : label is "soft_lutpair66"; attribute SOFT_HLUTNM of rxdisperr_i_1 : label is "soft_lutpair68"; attribute SOFT_HLUTNM of rxnotintable_i_1 : label is "soft_lutpair68"; begin cplllock <= \^cplllock\; SYNC_ASYNC_RESET_GT_RX: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_reset_sync port map ( gtwiz_reset_rx_done_out(0) => gtwiz_reset_rx_done_out_int, reset_out => gtwiz_reset_rx_done_out_reg, rxuserclk2 => rxuserclk2 ); SYNC_ASYNC_RESET_RX: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_reset_sync_0 port map ( SR(0) => SR(0), gtwiz_reset_rx_done_out_int_reg0 => gtwiz_reset_rx_done_out_int_reg0, reset_out => gtwiz_reset_rx_done_out_reg, rxuserclk2 => rxuserclk2 ); SYNC_ASYNC_RESET_TX: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_reset_sync_1 port map ( gtwiz_reset_tx_done_out(0) => gtwiz_reset_tx_done_out_int, gtwiz_reset_tx_done_out_int_reg0 => gtwiz_reset_tx_done_out_int_reg0, txreset => txreset, userclk => userclk ); data_sync1_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => gtwiz_reset_tx_done_out_int, I1 => gtwiz_reset_rx_done_out_int, O => data_in ); gig_ethernet_pcs_pma_0_gt_i: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_gt port map ( cplllock_out(0) => \^cplllock\, cpllrefclksel_in(2 downto 0) => B"001", dmonitorout_out(16 downto 0) => NLW_gig_ethernet_pcs_pma_0_gt_i_dmonitorout_out_UNCONNECTED(16 downto 0), drpaddr_in(8 downto 0) => B"000000000", drpclk_in(0) => independent_clock_bufg, drpdi_in(15 downto 0) => B"0000000000000000", drpdo_out(15 downto 0) => NLW_gig_ethernet_pcs_pma_0_gt_i_drpdo_out_UNCONNECTED(15 downto 0), drpen_in(0) => '0', drprdy_out(0) => NLW_gig_ethernet_pcs_pma_0_gt_i_drprdy_out_UNCONNECTED(0), drpwe_in(0) => '0', eyescandataerror_out(0) => NLW_gig_ethernet_pcs_pma_0_gt_i_eyescandataerror_out_UNCONNECTED(0), eyescanreset_in(0) => '0', eyescantrigger_in(0) => '0', gthrxn_in(0) => rxn, gthrxp_in(0) => rxp, gthtxn_out(0) => txn, gthtxp_out(0) => txp, gtpowergood_out(0) => gtpowergood, gtrefclk0_in(0) => gtrefclk, gtrefclk1_in(0) => '0', gtwiz_reset_all_in(0) => pma_reset, gtwiz_reset_clk_freerun_in(0) => '0', gtwiz_reset_rx_cdr_stable_out(0) => NLW_gig_ethernet_pcs_pma_0_gt_i_gtwiz_reset_rx_cdr_stable_out_UNCONNECTED(0), gtwiz_reset_rx_datapath_in(0) => gtwiz_reset_rx_done_out_int_reg, gtwiz_reset_rx_done_out(0) => gtwiz_reset_rx_done_out_int, gtwiz_reset_rx_pll_and_datapath_in(0) => '0', gtwiz_reset_tx_datapath_in(0) => gtwiz_reset_tx_done_out_int_reg, gtwiz_reset_tx_done_out(0) => gtwiz_reset_tx_done_out_int, gtwiz_reset_tx_pll_and_datapath_in(0) => '0', gtwiz_userclk_rx_active_in(0) => '0', gtwiz_userclk_tx_active_in(0) => mmcm_locked, gtwiz_userdata_rx_out(15 downto 0) => rxdata_int(15 downto 0), gtwiz_userdata_tx_in(15 downto 0) => txdata_int(15 downto 0), loopback_in(2 downto 0) => B"000", pcsrsvdin_in(15 downto 0) => B"0000000000000000", rx8b10ben_in(0) => '1', rxbufreset_in(0) => '0', rxbufstatus_out(2) => gig_ethernet_pcs_pma_0_gt_i_n_58, rxbufstatus_out(1 downto 0) => NLW_gig_ethernet_pcs_pma_0_gt_i_rxbufstatus_out_UNCONNECTED(1 downto 0), rxbyteisaligned_out(0) => NLW_gig_ethernet_pcs_pma_0_gt_i_rxbyteisaligned_out_UNCONNECTED(0), rxbyterealign_out(0) => NLW_gig_ethernet_pcs_pma_0_gt_i_rxbyterealign_out_UNCONNECTED(0), rxcdrhold_in(0) => '0', rxclkcorcnt_out(1 downto 0) => rxclkcorcnt_int(1 downto 0), rxcommadet_out(0) => NLW_gig_ethernet_pcs_pma_0_gt_i_rxcommadet_out_UNCONNECTED(0), rxcommadeten_in(0) => '1', rxctrl0_out(15 downto 2) => NLW_gig_ethernet_pcs_pma_0_gt_i_rxctrl0_out_UNCONNECTED(15 downto 2), rxctrl0_out(1 downto 0) => rxctrl0_out(1 downto 0), rxctrl1_out(15 downto 2) => NLW_gig_ethernet_pcs_pma_0_gt_i_rxctrl1_out_UNCONNECTED(15 downto 2), rxctrl1_out(1 downto 0) => rxctrl1_out(1 downto 0), rxctrl2_out(7 downto 2) => NLW_gig_ethernet_pcs_pma_0_gt_i_rxctrl2_out_UNCONNECTED(7 downto 2), rxctrl2_out(1 downto 0) => rxctrl2_out(1 downto 0), rxctrl3_out(7 downto 2) => NLW_gig_ethernet_pcs_pma_0_gt_i_rxctrl3_out_UNCONNECTED(7 downto 2), rxctrl3_out(1 downto 0) => rxctrl3_out(1 downto 0), rxdfelpmreset_in(0) => '0', rxlpmen_in(0) => '1', rxmcommaalignen_in(0) => encommaalign_int, rxoutclk_out(0) => rxoutclk, rxpcommaalignen_in(0) => '0', rxpcsreset_in(0) => '0', rxpd_in(1) => rxpowerdown, rxpd_in(0) => '0', rxpmareset_in(0) => '0', rxpmaresetdone_out(0) => NLW_gig_ethernet_pcs_pma_0_gt_i_rxpmaresetdone_out_UNCONNECTED(0), rxpolarity_in(0) => '0', rxprbscntreset_in(0) => '0', rxprbserr_out(0) => NLW_gig_ethernet_pcs_pma_0_gt_i_rxprbserr_out_UNCONNECTED(0), rxprbssel_in(3 downto 0) => B"0000", rxrate_in(2 downto 0) => B"000", rxresetdone_out(0) => NLW_gig_ethernet_pcs_pma_0_gt_i_rxresetdone_out_UNCONNECTED(0), rxusrclk2_in(0) => '0', rxusrclk_in(0) => userclk, tx8b10ben_in(0) => '1', txbufstatus_out(1) => gig_ethernet_pcs_pma_0_gt_i_n_118, txbufstatus_out(0) => NLW_gig_ethernet_pcs_pma_0_gt_i_txbufstatus_out_UNCONNECTED(0), txctrl0_in(15 downto 2) => B"00000000000000", txctrl0_in(1 downto 0) => txchardispval_int(1 downto 0), txctrl1_in(15 downto 2) => B"00000000000000", txctrl1_in(1 downto 0) => txchardispmode_int(1 downto 0), txctrl2_in(7 downto 2) => B"000000", txctrl2_in(1 downto 0) => txcharisk_int(1 downto 0), txdiffctrl_in(3 downto 0) => B"1000", txelecidle_in(0) => txpowerdown, txinhibit_in(0) => '0', txoutclk_out(0) => txoutclk, txpcsreset_in(0) => '0', txpd_in(1 downto 0) => B"00", txpmareset_in(0) => '0', txpmaresetdone_out(0) => NLW_gig_ethernet_pcs_pma_0_gt_i_txpmaresetdone_out_UNCONNECTED(0), txpolarity_in(0) => '0', txpostcursor_in(4 downto 0) => B"00000", txprbsforceerr_in(0) => '0', txprbssel_in(3 downto 0) => B"0000", txprecursor_in(4 downto 0) => B"00000", txprgdivresetdone_out(0) => NLW_gig_ethernet_pcs_pma_0_gt_i_txprgdivresetdone_out_UNCONNECTED(0), txresetdone_out(0) => NLW_gig_ethernet_pcs_pma_0_gt_i_txresetdone_out_UNCONNECTED(0), txusrclk2_in(0) => '0', txusrclk_in(0) => '0' ); gtwiz_reset_rx_done_out_int_reg_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rxuserclk2, CE => '1', D => gtwiz_reset_rx_done_out_int_reg0, Q => gtwiz_reset_rx_done_out_int_reg, R => '0' ); gtwiz_reset_tx_done_out_int_reg_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => userclk, CE => '1', D => gtwiz_reset_tx_done_out_int_reg0, Q => gtwiz_reset_tx_done_out_int_reg, R => '0' ); mmcm_reset_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^cplllock\, O => mmcm_reset ); reclock_encommaalign: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_reset_sync_2 port map ( enablealign => enablealign, reset_out => encommaalign_int, userclk2 => userclk2 ); rxbuferr_reg: unisim.vcomponents.FDRE port map ( C => userclk2, CE => '1', D => p_0_in, Q => rxbuferr, R => '0' ); \rxbufstatus_reg_reg[2]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => gig_ethernet_pcs_pma_0_gt_i_n_58, Q => p_0_in, R => '0' ); \rxchariscomma_double_reg[0]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle, D => \rxchariscomma_reg__0\(0), Q => rxchariscomma_double(0), R => SR(0) ); \rxchariscomma_double_reg[1]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle, D => \rxchariscomma_reg__0\(1), Q => rxchariscomma_double(1), R => SR(0) ); rxchariscomma_i_1: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => rxchariscomma_double(1), I1 => toggle, I2 => rxchariscomma_double(0), O => rxchariscomma_i_1_n_0 ); rxchariscomma_reg: unisim.vcomponents.FDRE port map ( C => userclk2, CE => '1', D => rxchariscomma_i_1_n_0, Q => rxchariscomma, R => SR(0) ); \rxchariscomma_reg_reg[0]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => rxctrl2_out(0), Q => \rxchariscomma_reg__0\(0), R => '0' ); \rxchariscomma_reg_reg[1]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => rxctrl2_out(1), Q => \rxchariscomma_reg__0\(1), R => '0' ); \rxcharisk_double_reg[0]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle, D => \rxcharisk_reg__0\(0), Q => rxcharisk_double(0), R => SR(0) ); \rxcharisk_double_reg[1]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle, D => \rxcharisk_reg__0\(1), Q => rxcharisk_double(1), R => SR(0) ); rxcharisk_i_1: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => rxcharisk_double(1), I1 => toggle, I2 => rxcharisk_double(0), O => rxcharisk_i_1_n_0 ); rxcharisk_reg: unisim.vcomponents.FDRE port map ( C => userclk2, CE => '1', D => rxcharisk_i_1_n_0, Q => rxcharisk, R => SR(0) ); \rxcharisk_reg_reg[0]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => rxctrl0_out(0), Q => \rxcharisk_reg__0\(0), R => '0' ); \rxcharisk_reg_reg[1]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => rxctrl0_out(1), Q => \rxcharisk_reg__0\(1), R => '0' ); \rxclkcorcnt_double_reg[0]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle, D => rxclkcorcnt_reg(0), Q => rxclkcorcnt_double(0), R => SR(0) ); \rxclkcorcnt_double_reg[1]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle, D => rxclkcorcnt_reg(1), Q => rxclkcorcnt_double(1), R => SR(0) ); \rxclkcorcnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => '1', D => rxclkcorcnt_double(0), Q => Q(0), R => SR(0) ); \rxclkcorcnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => '1', D => rxclkcorcnt_double(1), Q => Q(1), R => SR(0) ); \rxclkcorcnt_reg_reg[0]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => rxclkcorcnt_int(0), Q => rxclkcorcnt_reg(0), R => '0' ); \rxclkcorcnt_reg_reg[1]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => rxclkcorcnt_int(1), Q => rxclkcorcnt_reg(1), R => '0' ); \rxdata[0]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => rxdata_double(8), I1 => toggle, I2 => rxdata_double(0), O => \rxdata[0]_i_1_n_0\ ); \rxdata[1]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => rxdata_double(9), I1 => toggle, I2 => rxdata_double(1), O => \rxdata[1]_i_1_n_0\ ); \rxdata[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => rxdata_double(10), I1 => toggle, I2 => rxdata_double(2), O => \rxdata[2]_i_1_n_0\ ); \rxdata[3]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => rxdata_double(11), I1 => toggle, I2 => rxdata_double(3), O => \rxdata[3]_i_1_n_0\ ); \rxdata[4]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => rxdata_double(12), I1 => toggle, I2 => rxdata_double(4), O => \rxdata[4]_i_1_n_0\ ); \rxdata[5]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => rxdata_double(13), I1 => toggle, I2 => rxdata_double(5), O => \rxdata[5]_i_1_n_0\ ); \rxdata[6]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => rxdata_double(14), I1 => toggle, I2 => rxdata_double(6), O => \rxdata[6]_i_1_n_0\ ); \rxdata[7]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => rxdata_double(15), I1 => toggle, I2 => rxdata_double(7), O => \rxdata[7]_i_1_n_0\ ); \rxdata_double_reg[0]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle, D => rxdata_reg(0), Q => rxdata_double(0), R => SR(0) ); \rxdata_double_reg[10]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle, D => rxdata_reg(10), Q => rxdata_double(10), R => SR(0) ); \rxdata_double_reg[11]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle, D => rxdata_reg(11), Q => rxdata_double(11), R => SR(0) ); \rxdata_double_reg[12]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle, D => rxdata_reg(12), Q => rxdata_double(12), R => SR(0) ); \rxdata_double_reg[13]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle, D => rxdata_reg(13), Q => rxdata_double(13), R => SR(0) ); \rxdata_double_reg[14]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle, D => rxdata_reg(14), Q => rxdata_double(14), R => SR(0) ); \rxdata_double_reg[15]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle, D => rxdata_reg(15), Q => rxdata_double(15), R => SR(0) ); \rxdata_double_reg[1]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle, D => rxdata_reg(1), Q => rxdata_double(1), R => SR(0) ); \rxdata_double_reg[2]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle, D => rxdata_reg(2), Q => rxdata_double(2), R => SR(0) ); \rxdata_double_reg[3]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle, D => rxdata_reg(3), Q => rxdata_double(3), R => SR(0) ); \rxdata_double_reg[4]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle, D => rxdata_reg(4), Q => rxdata_double(4), R => SR(0) ); \rxdata_double_reg[5]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle, D => rxdata_reg(5), Q => rxdata_double(5), R => SR(0) ); \rxdata_double_reg[6]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle, D => rxdata_reg(6), Q => rxdata_double(6), R => SR(0) ); \rxdata_double_reg[7]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle, D => rxdata_reg(7), Q => rxdata_double(7), R => SR(0) ); \rxdata_double_reg[8]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle, D => rxdata_reg(8), Q => rxdata_double(8), R => SR(0) ); \rxdata_double_reg[9]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle, D => rxdata_reg(9), Q => rxdata_double(9), R => SR(0) ); \rxdata_reg[0]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => '1', D => \rxdata[0]_i_1_n_0\, Q => \rxdata_reg[7]_0\(0), R => SR(0) ); \rxdata_reg[1]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => '1', D => \rxdata[1]_i_1_n_0\, Q => \rxdata_reg[7]_0\(1), R => SR(0) ); \rxdata_reg[2]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => '1', D => \rxdata[2]_i_1_n_0\, Q => \rxdata_reg[7]_0\(2), R => SR(0) ); \rxdata_reg[3]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => '1', D => \rxdata[3]_i_1_n_0\, Q => \rxdata_reg[7]_0\(3), R => SR(0) ); \rxdata_reg[4]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => '1', D => \rxdata[4]_i_1_n_0\, Q => \rxdata_reg[7]_0\(4), R => SR(0) ); \rxdata_reg[5]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => '1', D => \rxdata[5]_i_1_n_0\, Q => \rxdata_reg[7]_0\(5), R => SR(0) ); \rxdata_reg[6]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => '1', D => \rxdata[6]_i_1_n_0\, Q => \rxdata_reg[7]_0\(6), R => SR(0) ); \rxdata_reg[7]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => '1', D => \rxdata[7]_i_1_n_0\, Q => \rxdata_reg[7]_0\(7), R => SR(0) ); \rxdata_reg_reg[0]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => rxdata_int(0), Q => rxdata_reg(0), R => '0' ); \rxdata_reg_reg[10]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => rxdata_int(10), Q => rxdata_reg(10), R => '0' ); \rxdata_reg_reg[11]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => rxdata_int(11), Q => rxdata_reg(11), R => '0' ); \rxdata_reg_reg[12]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => rxdata_int(12), Q => rxdata_reg(12), R => '0' ); \rxdata_reg_reg[13]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => rxdata_int(13), Q => rxdata_reg(13), R => '0' ); \rxdata_reg_reg[14]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => rxdata_int(14), Q => rxdata_reg(14), R => '0' ); \rxdata_reg_reg[15]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => rxdata_int(15), Q => rxdata_reg(15), R => '0' ); \rxdata_reg_reg[1]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => rxdata_int(1), Q => rxdata_reg(1), R => '0' ); \rxdata_reg_reg[2]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => rxdata_int(2), Q => rxdata_reg(2), R => '0' ); \rxdata_reg_reg[3]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => rxdata_int(3), Q => rxdata_reg(3), R => '0' ); \rxdata_reg_reg[4]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => rxdata_int(4), Q => rxdata_reg(4), R => '0' ); \rxdata_reg_reg[5]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => rxdata_int(5), Q => rxdata_reg(5), R => '0' ); \rxdata_reg_reg[6]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => rxdata_int(6), Q => rxdata_reg(6), R => '0' ); \rxdata_reg_reg[7]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => rxdata_int(7), Q => rxdata_reg(7), R => '0' ); \rxdata_reg_reg[8]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => rxdata_int(8), Q => rxdata_reg(8), R => '0' ); \rxdata_reg_reg[9]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => rxdata_int(9), Q => rxdata_reg(9), R => '0' ); \rxdisperr_double_reg[0]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle, D => \rxdisperr_reg__0\(0), Q => rxdisperr_double(0), R => SR(0) ); \rxdisperr_double_reg[1]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle, D => \rxdisperr_reg__0\(1), Q => rxdisperr_double(1), R => SR(0) ); rxdisperr_i_1: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => rxdisperr_double(1), I1 => toggle, I2 => rxdisperr_double(0), O => rxdisperr_i_1_n_0 ); rxdisperr_reg: unisim.vcomponents.FDRE port map ( C => userclk2, CE => '1', D => rxdisperr_i_1_n_0, Q => rxdisperr, R => SR(0) ); \rxdisperr_reg_reg[0]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => rxctrl1_out(0), Q => \rxdisperr_reg__0\(0), R => '0' ); \rxdisperr_reg_reg[1]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => rxctrl1_out(1), Q => \rxdisperr_reg__0\(1), R => '0' ); \rxnotintable_double_reg[0]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle, D => \rxnotintable_reg__0\(0), Q => rxnotintable_double(0), R => SR(0) ); \rxnotintable_double_reg[1]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle, D => \rxnotintable_reg__0\(1), Q => rxnotintable_double(1), R => SR(0) ); rxnotintable_i_1: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => rxnotintable_double(1), I1 => toggle, I2 => rxnotintable_double(0), O => rxnotintable_i_1_n_0 ); rxnotintable_reg: unisim.vcomponents.FDRE port map ( C => userclk2, CE => '1', D => rxnotintable_i_1_n_0, Q => rxnotintable, R => SR(0) ); \rxnotintable_reg_reg[0]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => rxctrl3_out(0), Q => \rxnotintable_reg__0\(0), R => '0' ); \rxnotintable_reg_reg[1]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => rxctrl3_out(1), Q => \rxnotintable_reg__0\(1), R => '0' ); rxpowerdown_double_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => userclk2, CE => toggle, D => \rxpowerdown_reg__0\, Q => rxpowerdown_double, R => SR(0) ); rxpowerdown_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => userclk, CE => '1', D => rxpowerdown_double, Q => rxpowerdown, R => '0' ); rxpowerdown_reg_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => userclk2, CE => '1', D => powerdown, Q => \rxpowerdown_reg__0\, R => SR(0) ); toggle_i_1: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => toggle, O => toggle_i_1_n_0 ); toggle_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => userclk2, CE => '1', D => toggle_i_1_n_0, Q => toggle, R => '0' ); txbuferr_reg: unisim.vcomponents.FDRE port map ( C => userclk2, CE => '1', D => txbufstatus_reg(1), Q => txbuferr, R => '0' ); \txbufstatus_reg_reg[1]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => gig_ethernet_pcs_pma_0_gt_i_n_118, Q => txbufstatus_reg(1), R => '0' ); \txchardispmode_double_reg[0]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle_i_1_n_0, D => \p_1_in__1\(0), Q => txchardispmode_double(0), R => txreset ); \txchardispmode_double_reg[1]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle_i_1_n_0, D => txchardispmode_reg_reg_0(0), Q => txchardispmode_double(1), R => txreset ); \txchardispmode_int_reg[0]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => txchardispmode_double(0), Q => txchardispmode_int(0), R => '0' ); \txchardispmode_int_reg[1]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => txchardispmode_double(1), Q => txchardispmode_int(1), R => '0' ); txchardispmode_reg_reg: unisim.vcomponents.FDRE port map ( C => userclk2, CE => '1', D => txchardispmode_reg_reg_0(0), Q => \p_1_in__1\(0), R => txreset ); \txchardispval_double_reg[0]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle_i_1_n_0, D => \p_1_in__0\(0), Q => txchardispval_double(0), R => txreset ); \txchardispval_double_reg[1]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle_i_1_n_0, D => txchardispval_reg_reg_0(0), Q => txchardispval_double(1), R => txreset ); \txchardispval_int_reg[0]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => txchardispval_double(0), Q => txchardispval_int(0), R => '0' ); \txchardispval_int_reg[1]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => txchardispval_double(1), Q => txchardispval_int(1), R => '0' ); txchardispval_reg_reg: unisim.vcomponents.FDRE port map ( C => userclk2, CE => '1', D => txchardispval_reg_reg_0(0), Q => \p_1_in__0\(0), R => txreset ); \txcharisk_double_reg[0]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle_i_1_n_0, D => \p_1_in__2\(0), Q => txcharisk_double(0), R => txreset ); \txcharisk_double_reg[1]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle_i_1_n_0, D => txcharisk_reg_reg_0(0), Q => txcharisk_double(1), R => txreset ); \txcharisk_int_reg[0]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => txcharisk_double(0), Q => txcharisk_int(0), R => '0' ); \txcharisk_int_reg[1]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => txcharisk_double(1), Q => txcharisk_int(1), R => '0' ); txcharisk_reg_reg: unisim.vcomponents.FDRE port map ( C => userclk2, CE => '1', D => txcharisk_reg_reg_0(0), Q => \p_1_in__2\(0), R => txreset ); \txdata_double_reg[0]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle_i_1_n_0, D => p_1_in(0), Q => txdata_double(0), R => txreset ); \txdata_double_reg[10]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle_i_1_n_0, D => D(2), Q => txdata_double(10), R => txreset ); \txdata_double_reg[11]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle_i_1_n_0, D => D(3), Q => txdata_double(11), R => txreset ); \txdata_double_reg[12]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle_i_1_n_0, D => D(4), Q => txdata_double(12), R => txreset ); \txdata_double_reg[13]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle_i_1_n_0, D => D(5), Q => txdata_double(13), R => txreset ); \txdata_double_reg[14]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle_i_1_n_0, D => D(6), Q => txdata_double(14), R => txreset ); \txdata_double_reg[15]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle_i_1_n_0, D => D(7), Q => txdata_double(15), R => txreset ); \txdata_double_reg[1]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle_i_1_n_0, D => p_1_in(1), Q => txdata_double(1), R => txreset ); \txdata_double_reg[2]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle_i_1_n_0, D => p_1_in(2), Q => txdata_double(2), R => txreset ); \txdata_double_reg[3]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle_i_1_n_0, D => p_1_in(3), Q => txdata_double(3), R => txreset ); \txdata_double_reg[4]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle_i_1_n_0, D => p_1_in(4), Q => txdata_double(4), R => txreset ); \txdata_double_reg[5]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle_i_1_n_0, D => p_1_in(5), Q => txdata_double(5), R => txreset ); \txdata_double_reg[6]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle_i_1_n_0, D => p_1_in(6), Q => txdata_double(6), R => txreset ); \txdata_double_reg[7]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle_i_1_n_0, D => p_1_in(7), Q => txdata_double(7), R => txreset ); \txdata_double_reg[8]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle_i_1_n_0, D => D(0), Q => txdata_double(8), R => txreset ); \txdata_double_reg[9]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => toggle_i_1_n_0, D => D(1), Q => txdata_double(9), R => txreset ); \txdata_int_reg[0]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => txdata_double(0), Q => txdata_int(0), R => '0' ); \txdata_int_reg[10]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => txdata_double(10), Q => txdata_int(10), R => '0' ); \txdata_int_reg[11]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => txdata_double(11), Q => txdata_int(11), R => '0' ); \txdata_int_reg[12]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => txdata_double(12), Q => txdata_int(12), R => '0' ); \txdata_int_reg[13]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => txdata_double(13), Q => txdata_int(13), R => '0' ); \txdata_int_reg[14]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => txdata_double(14), Q => txdata_int(14), R => '0' ); \txdata_int_reg[15]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => txdata_double(15), Q => txdata_int(15), R => '0' ); \txdata_int_reg[1]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => txdata_double(1), Q => txdata_int(1), R => '0' ); \txdata_int_reg[2]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => txdata_double(2), Q => txdata_int(2), R => '0' ); \txdata_int_reg[3]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => txdata_double(3), Q => txdata_int(3), R => '0' ); \txdata_int_reg[4]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => txdata_double(4), Q => txdata_int(4), R => '0' ); \txdata_int_reg[5]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => txdata_double(5), Q => txdata_int(5), R => '0' ); \txdata_int_reg[6]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => txdata_double(6), Q => txdata_int(6), R => '0' ); \txdata_int_reg[7]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => txdata_double(7), Q => txdata_int(7), R => '0' ); \txdata_int_reg[8]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => txdata_double(8), Q => txdata_int(8), R => '0' ); \txdata_int_reg[9]\: unisim.vcomponents.FDRE port map ( C => userclk, CE => '1', D => txdata_double(9), Q => txdata_int(9), R => '0' ); \txdata_reg_reg[0]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => '1', D => D(0), Q => p_1_in(0), R => txreset ); \txdata_reg_reg[1]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => '1', D => D(1), Q => p_1_in(1), R => txreset ); \txdata_reg_reg[2]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => '1', D => D(2), Q => p_1_in(2), R => txreset ); \txdata_reg_reg[3]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => '1', D => D(3), Q => p_1_in(3), R => txreset ); \txdata_reg_reg[4]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => '1', D => D(4), Q => p_1_in(4), R => txreset ); \txdata_reg_reg[5]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => '1', D => D(5), Q => p_1_in(5), R => txreset ); \txdata_reg_reg[6]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => '1', D => D(6), Q => p_1_in(6), R => txreset ); \txdata_reg_reg[7]\: unisim.vcomponents.FDRE port map ( C => userclk2, CE => '1', D => D(7), Q => p_1_in(7), R => txreset ); txpowerdown_double_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => userclk2, CE => '1', D => \txpowerdown_reg__0\, Q => txpowerdown_double, R => txreset ); txpowerdown_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => userclk, CE => '1', D => txpowerdown_double, Q => txpowerdown, R => '0' ); txpowerdown_reg_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => userclk2, CE => '1', D => powerdown, Q => \txpowerdown_reg__0\, R => txreset ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_block is port ( gtrefclk : in STD_LOGIC; txp : out STD_LOGIC; txn : out STD_LOGIC; rxp : in STD_LOGIC; rxn : in STD_LOGIC; txoutclk : out STD_LOGIC; rxoutclk : out STD_LOGIC; resetdone : out STD_LOGIC; cplllock : out STD_LOGIC; mmcm_reset : out STD_LOGIC; mmcm_locked : in STD_LOGIC; userclk : in STD_LOGIC; userclk2 : in STD_LOGIC; rxuserclk : in STD_LOGIC; rxuserclk2 : in STD_LOGIC; independent_clock_bufg : in STD_LOGIC; pma_reset : in STD_LOGIC; gmii_txd : in STD_LOGIC_VECTOR ( 7 downto 0 ); gmii_tx_en : in STD_LOGIC; gmii_tx_er : in STD_LOGIC; gmii_rxd : out STD_LOGIC_VECTOR ( 7 downto 0 ); gmii_rx_dv : out STD_LOGIC; gmii_rx_er : out STD_LOGIC; gmii_isolate : out STD_LOGIC; configuration_vector : in STD_LOGIC_VECTOR ( 4 downto 0 ); status_vector : out STD_LOGIC_VECTOR ( 15 downto 0 ); reset : in STD_LOGIC; gtpowergood : out STD_LOGIC; signal_detect : in STD_LOGIC ); attribute EXAMPLE_SIMULATION : integer; attribute EXAMPLE_SIMULATION of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_block : entity is 0; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_block : entity is "yes"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_block; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_block is signal \\ : STD_LOGIC; signal enablealign : STD_LOGIC; signal mgt_rx_reset : STD_LOGIC; signal mgt_tx_reset : STD_LOGIC; signal powerdown : STD_LOGIC; signal \^resetdone\ : STD_LOGIC; signal resetdone_i : STD_LOGIC; signal rxbuferr : STD_LOGIC; signal rxchariscomma : STD_LOGIC; signal rxcharisk : STD_LOGIC; signal rxclkcorcnt : STD_LOGIC_VECTOR ( 1 downto 0 ); signal rxdata : STD_LOGIC_VECTOR ( 7 downto 0 ); signal rxdisperr : STD_LOGIC; signal rxnotintable : STD_LOGIC; signal \^status_vector\ : STD_LOGIC_VECTOR ( 6 downto 0 ); signal txbuferr : STD_LOGIC; signal txchardispmode : STD_LOGIC; signal txchardispval : STD_LOGIC; signal txcharisk : STD_LOGIC; signal txdata : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_gig_ethernet_pcs_pma_0_core_an_enable_UNCONNECTED : STD_LOGIC; signal NLW_gig_ethernet_pcs_pma_0_core_an_interrupt_UNCONNECTED : STD_LOGIC; signal NLW_gig_ethernet_pcs_pma_0_core_drp_den_UNCONNECTED : STD_LOGIC; signal NLW_gig_ethernet_pcs_pma_0_core_drp_dwe_UNCONNECTED : STD_LOGIC; signal NLW_gig_ethernet_pcs_pma_0_core_drp_req_UNCONNECTED : STD_LOGIC; signal NLW_gig_ethernet_pcs_pma_0_core_en_cdet_UNCONNECTED : STD_LOGIC; signal NLW_gig_ethernet_pcs_pma_0_core_ewrap_UNCONNECTED : STD_LOGIC; signal NLW_gig_ethernet_pcs_pma_0_core_loc_ref_UNCONNECTED : STD_LOGIC; signal NLW_gig_ethernet_pcs_pma_0_core_mdio_out_UNCONNECTED : STD_LOGIC; signal NLW_gig_ethernet_pcs_pma_0_core_mdio_tri_UNCONNECTED : STD_LOGIC; signal NLW_gig_ethernet_pcs_pma_0_core_s_axi_arready_UNCONNECTED : STD_LOGIC; signal NLW_gig_ethernet_pcs_pma_0_core_s_axi_awready_UNCONNECTED : STD_LOGIC; signal NLW_gig_ethernet_pcs_pma_0_core_s_axi_bvalid_UNCONNECTED : STD_LOGIC; signal NLW_gig_ethernet_pcs_pma_0_core_s_axi_rvalid_UNCONNECTED : STD_LOGIC; signal NLW_gig_ethernet_pcs_pma_0_core_s_axi_wready_UNCONNECTED : STD_LOGIC; signal NLW_gig_ethernet_pcs_pma_0_core_drp_daddr_UNCONNECTED : STD_LOGIC_VECTOR ( 9 downto 0 ); signal NLW_gig_ethernet_pcs_pma_0_core_drp_di_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 ); signal NLW_gig_ethernet_pcs_pma_0_core_rxphy_correction_timer_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_gig_ethernet_pcs_pma_0_core_rxphy_ns_field_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_gig_ethernet_pcs_pma_0_core_rxphy_s_field_UNCONNECTED : STD_LOGIC_VECTOR ( 47 downto 0 ); signal NLW_gig_ethernet_pcs_pma_0_core_s_axi_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_gig_ethernet_pcs_pma_0_core_s_axi_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_gig_ethernet_pcs_pma_0_core_s_axi_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_gig_ethernet_pcs_pma_0_core_speed_selection_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_gig_ethernet_pcs_pma_0_core_status_vector_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 7 ); signal NLW_gig_ethernet_pcs_pma_0_core_tx_code_group_UNCONNECTED : STD_LOGIC_VECTOR ( 9 downto 0 ); attribute B_SHIFTER_ADDR : string; attribute B_SHIFTER_ADDR of gig_ethernet_pcs_pma_0_core : label is "10'b0101010000"; attribute C_1588 : integer; attribute C_1588 of gig_ethernet_pcs_pma_0_core : label is 0; attribute C_2_5G : string; attribute C_2_5G of gig_ethernet_pcs_pma_0_core : label is "FALSE"; attribute C_COMPONENT_NAME : string; attribute C_COMPONENT_NAME of gig_ethernet_pcs_pma_0_core : label is "gig_ethernet_pcs_pma_0"; attribute C_DYNAMIC_SWITCHING : string; attribute C_DYNAMIC_SWITCHING of gig_ethernet_pcs_pma_0_core : label is "FALSE"; attribute C_ELABORATION_TRANSIENT_DIR : string; attribute C_ELABORATION_TRANSIENT_DIR of gig_ethernet_pcs_pma_0_core : label is "BlankString"; attribute C_FAMILY : string; attribute C_FAMILY of gig_ethernet_pcs_pma_0_core : label is "kintexu"; attribute C_HAS_AN : string; attribute C_HAS_AN of gig_ethernet_pcs_pma_0_core : label is "FALSE"; attribute C_HAS_AXIL : string; attribute C_HAS_AXIL of gig_ethernet_pcs_pma_0_core : label is "FALSE"; attribute C_HAS_MDIO : string; attribute C_HAS_MDIO of gig_ethernet_pcs_pma_0_core : label is "FALSE"; attribute C_HAS_TEMAC : string; attribute C_HAS_TEMAC of gig_ethernet_pcs_pma_0_core : label is "TRUE"; attribute C_IS_SGMII : string; attribute C_IS_SGMII of gig_ethernet_pcs_pma_0_core : label is "FALSE"; attribute C_RX_GMII_CLK : string; attribute C_RX_GMII_CLK of gig_ethernet_pcs_pma_0_core : label is "TXOUTCLK"; attribute C_SGMII_FABRIC_BUFFER : string; attribute C_SGMII_FABRIC_BUFFER of gig_ethernet_pcs_pma_0_core : label is "TRUE"; attribute C_SGMII_PHY_MODE : string; attribute C_SGMII_PHY_MODE of gig_ethernet_pcs_pma_0_core : label is "FALSE"; attribute C_USE_LVDS : string; attribute C_USE_LVDS of gig_ethernet_pcs_pma_0_core : label is "FALSE"; attribute C_USE_TBI : string; attribute C_USE_TBI of gig_ethernet_pcs_pma_0_core : label is "FALSE"; attribute C_USE_TRANSCEIVER : string; attribute C_USE_TRANSCEIVER of gig_ethernet_pcs_pma_0_core : label is "TRUE"; attribute GT_RX_BYTE_WIDTH : integer; attribute GT_RX_BYTE_WIDTH of gig_ethernet_pcs_pma_0_core : label is 1; attribute KEEP_HIERARCHY : string; attribute KEEP_HIERARCHY of gig_ethernet_pcs_pma_0_core : label is "soft"; attribute downgradeipidentifiedwarnings of gig_ethernet_pcs_pma_0_core : label is "yes"; attribute is_du_within_envelope : string; attribute is_du_within_envelope of gig_ethernet_pcs_pma_0_core : label is "true"; begin resetdone <= \^resetdone\; status_vector(15) <= \\; status_vector(14) <= \\; status_vector(13) <= \\; status_vector(12) <= \\; status_vector(11) <= \\; status_vector(10) <= \\; status_vector(9) <= \\; status_vector(8) <= \\; status_vector(7) <= \\; status_vector(6 downto 0) <= \^status_vector\(6 downto 0); GND: unisim.vcomponents.GND port map ( G => \\ ); gig_ethernet_pcs_pma_0_core: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_v16_2_1 port map ( an_adv_config_val => '0', an_adv_config_vector(15 downto 0) => B"0000000000000000", an_enable => NLW_gig_ethernet_pcs_pma_0_core_an_enable_UNCONNECTED, an_interrupt => NLW_gig_ethernet_pcs_pma_0_core_an_interrupt_UNCONNECTED, an_restart_config => '0', basex_or_sgmii => '0', configuration_valid => '0', configuration_vector(4) => '0', configuration_vector(3 downto 1) => configuration_vector(3 downto 1), configuration_vector(0) => '0', correction_timer(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000", dcm_locked => mmcm_locked, drp_daddr(9 downto 0) => NLW_gig_ethernet_pcs_pma_0_core_drp_daddr_UNCONNECTED(9 downto 0), drp_dclk => '0', drp_den => NLW_gig_ethernet_pcs_pma_0_core_drp_den_UNCONNECTED, drp_di(15 downto 0) => NLW_gig_ethernet_pcs_pma_0_core_drp_di_UNCONNECTED(15 downto 0), drp_do(15 downto 0) => B"0000000000000000", drp_drdy => '0', drp_dwe => NLW_gig_ethernet_pcs_pma_0_core_drp_dwe_UNCONNECTED, drp_gnt => '0', drp_req => NLW_gig_ethernet_pcs_pma_0_core_drp_req_UNCONNECTED, en_cdet => NLW_gig_ethernet_pcs_pma_0_core_en_cdet_UNCONNECTED, enablealign => enablealign, ewrap => NLW_gig_ethernet_pcs_pma_0_core_ewrap_UNCONNECTED, gmii_isolate => gmii_isolate, gmii_rx_dv => gmii_rx_dv, gmii_rx_er => gmii_rx_er, gmii_rxd(7 downto 0) => gmii_rxd(7 downto 0), gmii_tx_en => gmii_tx_en, gmii_tx_er => gmii_tx_er, gmii_txd(7 downto 0) => gmii_txd(7 downto 0), gtx_clk => '0', link_timer_basex(9 downto 0) => B"0000000000", link_timer_sgmii(9 downto 0) => B"0000000000", link_timer_value(9 downto 0) => B"0000000000", loc_ref => NLW_gig_ethernet_pcs_pma_0_core_loc_ref_UNCONNECTED, mdc => '0', mdio_in => '0', mdio_out => NLW_gig_ethernet_pcs_pma_0_core_mdio_out_UNCONNECTED, mdio_tri => NLW_gig_ethernet_pcs_pma_0_core_mdio_tri_UNCONNECTED, mgt_rx_reset => mgt_rx_reset, mgt_tx_reset => mgt_tx_reset, phyad(4 downto 0) => B"00000", pma_rx_clk0 => '0', pma_rx_clk1 => '0', powerdown => powerdown, reset => reset, reset_done => \^resetdone\, rx_code_group0(9 downto 0) => B"0000000000", rx_code_group1(9 downto 0) => B"0000000000", rx_gt_nominal_latency(15 downto 0) => B"0000000010111100", rxbufstatus(1) => rxbuferr, rxbufstatus(0) => '0', rxchariscomma(0) => rxchariscomma, rxcharisk(0) => rxcharisk, rxclkcorcnt(2) => '0', rxclkcorcnt(1 downto 0) => rxclkcorcnt(1 downto 0), rxdata(7 downto 0) => rxdata(7 downto 0), rxdisperr(0) => rxdisperr, rxnotintable(0) => rxnotintable, rxphy_correction_timer(63 downto 0) => NLW_gig_ethernet_pcs_pma_0_core_rxphy_correction_timer_UNCONNECTED(63 downto 0), rxphy_ns_field(31 downto 0) => NLW_gig_ethernet_pcs_pma_0_core_rxphy_ns_field_UNCONNECTED(31 downto 0), rxphy_s_field(47 downto 0) => NLW_gig_ethernet_pcs_pma_0_core_rxphy_s_field_UNCONNECTED(47 downto 0), rxrecclk => '0', rxrundisp(0) => '0', s_axi_aclk => '0', s_axi_araddr(31 downto 0) => B"00000000000000000000000000000000", s_axi_arready => NLW_gig_ethernet_pcs_pma_0_core_s_axi_arready_UNCONNECTED, s_axi_arvalid => '0', s_axi_awaddr(31 downto 0) => B"00000000000000000000000000000000", s_axi_awready => NLW_gig_ethernet_pcs_pma_0_core_s_axi_awready_UNCONNECTED, s_axi_awvalid => '0', s_axi_bready => '0', s_axi_bresp(1 downto 0) => NLW_gig_ethernet_pcs_pma_0_core_s_axi_bresp_UNCONNECTED(1 downto 0), s_axi_bvalid => NLW_gig_ethernet_pcs_pma_0_core_s_axi_bvalid_UNCONNECTED, s_axi_rdata(31 downto 0) => NLW_gig_ethernet_pcs_pma_0_core_s_axi_rdata_UNCONNECTED(31 downto 0), s_axi_resetn => '0', s_axi_rready => '0', s_axi_rresp(1 downto 0) => NLW_gig_ethernet_pcs_pma_0_core_s_axi_rresp_UNCONNECTED(1 downto 0), s_axi_rvalid => NLW_gig_ethernet_pcs_pma_0_core_s_axi_rvalid_UNCONNECTED, s_axi_wdata(31 downto 0) => B"00000000000000000000000000000000", s_axi_wready => NLW_gig_ethernet_pcs_pma_0_core_s_axi_wready_UNCONNECTED, s_axi_wvalid => '0', signal_detect => signal_detect, speed_is_100 => '0', speed_is_10_100 => '0', speed_selection(1 downto 0) => NLW_gig_ethernet_pcs_pma_0_core_speed_selection_UNCONNECTED(1 downto 0), status_vector(15 downto 7) => NLW_gig_ethernet_pcs_pma_0_core_status_vector_UNCONNECTED(15 downto 7), status_vector(6 downto 0) => \^status_vector\(6 downto 0), systemtimer_ns_field(31 downto 0) => B"00000000000000000000000000000000", systemtimer_s_field(47 downto 0) => B"000000000000000000000000000000000000000000000000", tx_code_group(9 downto 0) => NLW_gig_ethernet_pcs_pma_0_core_tx_code_group_UNCONNECTED(9 downto 0), txbuferr => txbuferr, txchardispmode => txchardispmode, txchardispval => txchardispval, txcharisk => txcharisk, txdata(7 downto 0) => txdata(7 downto 0), userclk => '0', userclk2 => userclk2 ); sync_block_reset_done: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_sync_block port map ( data_in => resetdone_i, resetdone => \^resetdone\, userclk2 => userclk2 ); transceiver_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_transceiver port map ( D(7 downto 0) => txdata(7 downto 0), Q(1 downto 0) => rxclkcorcnt(1 downto 0), SR(0) => mgt_rx_reset, cplllock => cplllock, data_in => resetdone_i, enablealign => enablealign, gtpowergood => gtpowergood, gtrefclk => gtrefclk, independent_clock_bufg => independent_clock_bufg, mmcm_locked => mmcm_locked, mmcm_reset => mmcm_reset, pma_reset => pma_reset, powerdown => powerdown, rxbuferr => rxbuferr, rxchariscomma => rxchariscomma, rxcharisk => rxcharisk, \rxdata_reg[7]_0\(7 downto 0) => rxdata(7 downto 0), rxdisperr => rxdisperr, rxn => rxn, rxnotintable => rxnotintable, rxoutclk => rxoutclk, rxp => rxp, rxuserclk2 => rxuserclk2, txbuferr => txbuferr, txchardispmode_reg_reg_0(0) => txchardispmode, txchardispval_reg_reg_0(0) => txchardispval, txcharisk_reg_reg_0(0) => txcharisk, txn => txn, txoutclk => txoutclk, txp => txp, txreset => mgt_tx_reset, userclk => userclk, userclk2 => userclk2 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is port ( gtrefclk : in STD_LOGIC; txp : out STD_LOGIC; txn : out STD_LOGIC; rxp : in STD_LOGIC; rxn : in STD_LOGIC; resetdone : out STD_LOGIC; cplllock : out STD_LOGIC; mmcm_reset : out STD_LOGIC; txoutclk : out STD_LOGIC; rxoutclk : out STD_LOGIC; userclk : in STD_LOGIC; userclk2 : in STD_LOGIC; rxuserclk : in STD_LOGIC; rxuserclk2 : in STD_LOGIC; pma_reset : in STD_LOGIC; mmcm_locked : in STD_LOGIC; independent_clock_bufg : in STD_LOGIC; gmii_txd : in STD_LOGIC_VECTOR ( 7 downto 0 ); gmii_tx_en : in STD_LOGIC; gmii_tx_er : in STD_LOGIC; gmii_rxd : out STD_LOGIC_VECTOR ( 7 downto 0 ); gmii_rx_dv : out STD_LOGIC; gmii_rx_er : out STD_LOGIC; gmii_isolate : out STD_LOGIC; configuration_vector : in STD_LOGIC_VECTOR ( 4 downto 0 ); status_vector : out STD_LOGIC_VECTOR ( 15 downto 0 ); reset : in STD_LOGIC; gtpowergood : out STD_LOGIC; signal_detect : in STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true; attribute EXAMPLE_SIMULATION : integer; attribute EXAMPLE_SIMULATION of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is 0; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes"; attribute x_core_info : string; attribute x_core_info of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "gig_ethernet_pcs_pma_v16_2_1,Vivado 2020.2"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is signal \\ : STD_LOGIC; signal \^status_vector\ : STD_LOGIC_VECTOR ( 6 downto 0 ); signal NLW_U0_status_vector_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 7 ); attribute EXAMPLE_SIMULATION of U0 : label is 0; attribute downgradeipidentifiedwarnings of U0 : label is "yes"; begin status_vector(15) <= \\; status_vector(14) <= \\; status_vector(13) <= \\; status_vector(12) <= \\; status_vector(11) <= \\; status_vector(10) <= \\; status_vector(9) <= \\; status_vector(8) <= \\; status_vector(7) <= \\; status_vector(6 downto 0) <= \^status_vector\(6 downto 0); GND: unisim.vcomponents.GND port map ( G => \\ ); U0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gig_ethernet_pcs_pma_0_block port map ( configuration_vector(4) => '0', configuration_vector(3 downto 1) => configuration_vector(3 downto 1), configuration_vector(0) => '0', cplllock => cplllock, gmii_isolate => gmii_isolate, gmii_rx_dv => gmii_rx_dv, gmii_rx_er => gmii_rx_er, gmii_rxd(7 downto 0) => gmii_rxd(7 downto 0), gmii_tx_en => gmii_tx_en, gmii_tx_er => gmii_tx_er, gmii_txd(7 downto 0) => gmii_txd(7 downto 0), gtpowergood => gtpowergood, gtrefclk => gtrefclk, independent_clock_bufg => independent_clock_bufg, mmcm_locked => mmcm_locked, mmcm_reset => mmcm_reset, pma_reset => pma_reset, reset => reset, resetdone => resetdone, rxn => rxn, rxoutclk => rxoutclk, rxp => rxp, rxuserclk => '0', rxuserclk2 => rxuserclk2, signal_detect => signal_detect, status_vector(15 downto 7) => NLW_U0_status_vector_UNCONNECTED(15 downto 7), status_vector(6 downto 0) => \^status_vector\(6 downto 0), txn => txn, txoutclk => txoutclk, txp => txp, userclk => userclk, userclk2 => userclk2 ); end STRUCTURE;