#----------------------------------------------------------- # Vivado v2020.2 (64-bit) # SW Build 3064766 on Wed Nov 18 09:12:45 MST 2020 # IP Build 3064653 on Wed Nov 18 14:17:31 MST 2020 # Start of session at: Fri Feb 26 06:10:39 2021 # Process ID: 11440 # Current directory: D:/Design_collection/mgt_ip_ex # Command line: vivado.exe -gui_launcher_event rodinguilauncherevent18056 D:\Design_collection\mgt_ip_ex\mgt_ip_ex.xpr # Log file: D:/Design_collection/mgt_ip_ex/vivado.log # Journal file: D:/Design_collection/mgt_ip_ex\vivado.jou #----------------------------------------------------------- start_gui open_project -read_only D:/vproject/AMC13_teststandNew/AMC13_teststandNew.xpr Scanning sources... Finished scanning sources INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'D:/Xilinx/Vivado/2020.2/data/ip'. WARNING: [IP_Flow 19-1711] Problem reading XCO file 'd:/vproject/AMC13_teststandNew/AMC13_teststandNew.srcs/sources_1/ip/vio_i192_o16/vio_i192_o16.xco'. IP with display name 'VIO_(ChipScope_Pro_-_Virtual_Input/Output)' version 1.05.a was not found in the IP catalog. WARNING: [IP_Flow 19-2162] IP 'vio_i192_o16' is locked: * Project containing IP 'vio_i192_o16' is read-only. * IP definition 'VIO__ChipScope_Pro_-_Virtual_Input_Output_ (1.05.a)' for IP 'vio_i192_o16' was not found in the IP Catalog. WARNING: [IP_Flow 19-1711] Problem reading XCO file 'd:/vproject/AMC13_teststandNew/AMC13_teststandNew.srcs/sources_1/ip/vio_i128/vio_i128.xco'. IP with display name 'VIO_(ChipScope_Pro_-_Virtual_Input/Output)' version 1.05.a was not found in the IP catalog. WARNING: [IP_Flow 19-2162] IP 'vio_i128' is locked: * Project containing IP 'vio_i128' is read-only. * IP definition 'VIO__ChipScope_Pro_-_Virtual_Input_Output_ (1.05.a)' for IP 'vio_i128' was not found in the IP Catalog. WARNING: [Project 1-229] Project 'AMC13_teststandNew.xpr' is read-only and therefore could not be upgraded for this version of Vivado. Use 'File | Save Project As...' if you wish to save an upgraded copy. open_project: Time (s): cpu = 00:00:17 ; elapsed = 00:00:10 . Memory (MB): peak = 1010.141 ; gain = 0.000 update_compile_order -fileset sources_1 close_project open_project -read_only D:/Design_collection/mgt_ip_ex/mgt_ip_ex.xpr Scanning sources... Finished scanning sources INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'D:/Xilinx/Vivado/2020.2/data/ip'. WARNING: [IP_Flow 19-2162] IP 'mgt_ip' is locked: * Project containing IP 'mgt_ip' is read-only. * IP definition 'UltraScale FPGAs Transceivers Wizard (1.7)' for IP 'mgt_ip' (customized with software release 2018.3) has a different revision in the IP Catalog. WARNING: [IP_Flow 19-2162] IP 'mgt_ip_vio_0' is locked: * Project containing IP 'mgt_ip_vio_0' is read-only. WARNING: [Project 1-229] Project 'mgt_ip_ex.xpr' is read-only and therefore could not be upgraded for this version of Vivado. Use 'File | Save Project As...' if you wish to save an upgraded copy. update_compile_order -fileset sources_1 exit INFO: [Common 17-206] Exiting Vivado at Wed Mar 10 12:26:53 2021...