#----------------------------------------------------------- # Vivado v2020.2 (64-bit) # SW Build 3064766 on Wed Nov 18 09:12:45 MST 2020 # IP Build 3064653 on Wed Nov 18 14:17:31 MST 2020 # Start of session at: Fri Feb 26 06:10:39 2021 # Process ID: 11440 # Current directory: D:/Design_collection/mgt_ip_ex # Command line: vivado.exe -gui_launcher_event rodinguilauncherevent18056 D:\Design_collection\mgt_ip_ex\mgt_ip_ex.xpr # Log file: D:/Design_collection/mgt_ip_ex/vivado.log # Journal file: D:/Design_collection/mgt_ip_ex\vivado.jou #----------------------------------------------------------- start_gui open_project -read_only D:/vproject/AMC13_teststandNew/AMC13_teststandNew.xpr update_compile_order -fileset sources_1 close_project open_project -read_only D:/Design_collection/mgt_ip_ex/mgt_ip_ex.xpr update_compile_order -fileset sources_1