-makelib xcelium_lib/gtwizard_ultrascale_v1_7_5 \ "../../../ipstatic/hdl/gtwizard_ultrascale_v1_7_bit_sync.v" \ "../../../ipstatic/hdl/gtwizard_ultrascale_v1_7_gte4_drp_arb.v" \ "../../../ipstatic/hdl/gtwizard_ultrascale_v1_7_gthe4_delay_powergood.v" \ "../../../ipstatic/hdl/gtwizard_ultrascale_v1_7_gtye4_delay_powergood.v" \ "../../../ipstatic/hdl/gtwizard_ultrascale_v1_7_gthe3_cpll_cal.v" \ "../../../ipstatic/hdl/gtwizard_ultrascale_v1_7_gthe3_cal_freqcnt.v" \ "../../../ipstatic/hdl/gtwizard_ultrascale_v1_7_gthe4_cpll_cal.v" \ "../../../ipstatic/hdl/gtwizard_ultrascale_v1_7_gthe4_cpll_cal_rx.v" \ "../../../ipstatic/hdl/gtwizard_ultrascale_v1_7_gthe4_cpll_cal_tx.v" \ "../../../ipstatic/hdl/gtwizard_ultrascale_v1_7_gthe4_cal_freqcnt.v" \ "../../../ipstatic/hdl/gtwizard_ultrascale_v1_7_gtye4_cpll_cal.v" \ "../../../ipstatic/hdl/gtwizard_ultrascale_v1_7_gtye4_cpll_cal_rx.v" \ "../../../ipstatic/hdl/gtwizard_ultrascale_v1_7_gtye4_cpll_cal_tx.v" \ "../../../ipstatic/hdl/gtwizard_ultrascale_v1_7_gtye4_cal_freqcnt.v" \ "../../../ipstatic/hdl/gtwizard_ultrascale_v1_7_gtwiz_buffbypass_rx.v" \ "../../../ipstatic/hdl/gtwizard_ultrascale_v1_7_gtwiz_buffbypass_tx.v" \ "../../../ipstatic/hdl/gtwizard_ultrascale_v1_7_gtwiz_reset.v" \ "../../../ipstatic/hdl/gtwizard_ultrascale_v1_7_gtwiz_userclk_rx.v" \ "../../../ipstatic/hdl/gtwizard_ultrascale_v1_7_gtwiz_userclk_tx.v" \ "../../../ipstatic/hdl/gtwizard_ultrascale_v1_7_gtwiz_userdata_rx.v" \ "../../../ipstatic/hdl/gtwizard_ultrascale_v1_7_gtwiz_userdata_tx.v" \ "../../../ipstatic/hdl/gtwizard_ultrascale_v1_7_reset_sync.v" \ "../../../ipstatic/hdl/gtwizard_ultrascale_v1_7_reset_inv_sync.v" \ -endlib -makelib xcelium_lib/xil_defaultlib \ "../../../../mgt_ip_ex.srcs/sources_1/ip/mgt_ip/sim/gtwizard_ultrascale_v1_7_gthe3_channel.v" \ "../../../../mgt_ip_ex.srcs/sources_1/ip/mgt_ip/sim/mgt_ip_gthe3_channel_wrapper.v" \ "../../../../mgt_ip_ex.srcs/sources_1/ip/mgt_ip/sim/mgt_ip_gtwizard_gthe3.v" \ "../../../../mgt_ip_ex.srcs/sources_1/ip/mgt_ip/sim/mgt_ip_gtwizard_top.v" \ "../../../../mgt_ip_ex.srcs/sources_1/ip/mgt_ip/sim/mgt_ip.v" \ -endlib -makelib xcelium_lib/xil_defaultlib \ glbl.v -endlib