--====================================================================== library ieee; use ieee.std_logic_1164.all; use work.tcds2_streams_pkg.all; --====================================================================== entity tts2_joiner is generic ( G_NUMBER_OF_INPUTS : integer ); port ( tts2_in : in tcds2_tts2_array(G_NUMBER_OF_INPUTS - 1 downto 0); tts2_out : out tcds2_tts2_value_array(G_NUMBER_OF_INPUTS - 1 downto 0) ); end tts2_joiner; --====================================================================== architecture arch of tts2_joiner is begin gen_joined_tts2 : for i in 0 to G_NUMBER_OF_INPUTS - 1 generate tts2_out(i) <= tts2_in(i)(0); end generate; end arch; --======================================================================