--====================================================================== -- Use this to disable/mask away certain TTS2 channels. --====================================================================== library ieee; use ieee.std_logic_1164.all; use work.tcds2_streams_pkg.all; --====================================================================== entity tts2_ignore_mask is generic ( G_NUMBER_OF_INPUTS : integer ); port ( tts2_in_enable : in std_logic_vector(G_NUMBER_OF_INPUTS - 1 downto 0); tts2_in : in tcds2_tts2_value_array(G_NUMBER_OF_INPUTS - 1 downto 0); tts2_out : out tcds2_tts2_value_array(G_NUMBER_OF_INPUTS - 1 downto 0) ); end tts2_ignore_mask; --====================================================================== architecture arch of tts2_ignore_mask is begin gen_masked_tts2 : for i in 0 to G_NUMBER_OF_INPUTS - 1 generate tts2_out(i) <= tts2_in(i) when tts2_in_enable(i) = '1' else C_TCDS2_TTS2_VALUE_IGNORED; end generate; end arch; --======================================================================