# Relative placement constraints on the reset synchronizers. # NOTE: The 8 ns is the period of the faster clock (i.e., the 125 MHz sysclk). set_max_delay -datapath_only -from [get_cells infra/clocks/rst_reg] -to [get_cells infra/clocks/rst_*_reg] 8.000 # Dummy clock to help specify input/output delays for asynchronous interfaces. create_clock -period 1.000 -name async_inouts set_clock_groups -asynchronous -group [get_clocks async_inouts] # Decouple the front-panel diagnostic leds. #set_property iob true [get_ports user_leds] set_output_delay -clock [get_clocks async_inouts] 0.000 [get_ports user_leds] #set_false_path -to [get_ports user_leds] # Decouple the various I2C lines. # NOTE: Careful with the sysmon I2C lines. That is the only slave. The rest are all masters. #set_property iob true $ports set_output_delay -clock [get_clocks async_inouts] 0.000 [get_ports -regexp i2c_(?!sysmon).*_scl] #set_property iob true $ports set_input_delay -clock [get_clocks async_inouts] 0.000 [get_ports -regexp i2c_(?!sysmon).*_sda] set_output_delay -clock [get_clocks async_inouts] 0.000 [get_ports -regexp i2c_(?!sysmon).*_sda] #set_property iob true [get_ports i2c_sysmon_*] set_input_delay -clock [get_clocks async_inouts] 0.000 [get_ports i2c_sysmon_*] # Decouple the various clock generator control lines. #set_property iob true [get_ports clkgen_*_reset_b] set_output_delay -clock [get_clocks async_inouts] 0.000 [get_ports clkgen_*_reset_b] #set_property iob true [get_ports clkgen_*_in_sel_n] set_output_delay -clock [get_clocks async_inouts] 0.000 [get_ports clkgen_*_in_sel] # Decouple the recovered clock selector line as well. #set_property iob true [get_ports sel_recclk_out] set_output_delay -clock [get_clocks async_inouts] 0.000 [get_ports sel_recclk_out] # Decouple the SFP control lines. set_input_delay -clock [get_clocks async_inouts] 0.000 [get_ports sfp_*_los] set_input_delay -clock [get_clocks async_inouts] 0.000 [get_ports sfp_*_modabs] set_input_delay -clock [get_clocks async_inouts] 0.000 [get_ports sfp_*_txfault] set_output_delay -clock [get_clocks async_inouts] 0.000 [get_ports sfp_*_disable] # Decouple the flash PROM I/O lines. set_input_delay -clock [get_clocks async_inouts] 0.000 [get_ports flash_data] set_output_delay -clock [get_clocks async_inouts] 0.000 [get_ports flash_*]