###################################################################### # General-purpose clocks. create_clock -period 10.000 -name clk_gp_100mhz [get_ports clk_gp_100mhz_p] create_clock -period 8.000 -name clk_gp_125mhz [get_ports clk_gp_125mhz_p] create_clock -period 6.400 -name clk_gp_156_25mhz [get_ports clk_gp_156_25mhz_p] ########## # The general-purpose clocks are asynchronous to anything else, really. set_clock_groups -asynchronous -group [get_clocks clk_gp_100mhz -include_generated_clocks] set_clock_groups -asynchronous -group [get_clocks clk_gp_125mhz -include_generated_clocks] set_clock_groups -asynchronous -group [get_clocks clk_gp_156_25mhz -include_generated_clocks] ######################################################################