--====================================================================== library ieee; use ieee.std_logic_1164.all; -- This is just a very simple mapping component, really, to get from LED numbers -- to more or less descriptive names. entity dth_tcds_user_leds is port ( user_led_top_left : in std_logic; user_led_top_right_of_left : in std_logic; user_led_top_left_of_right : in std_logic; user_led_top_right : in std_logic; user_led_bottom_left : in std_logic; user_led_bottom_right_of_left : in std_logic; user_led_bottom_left_of_right : in std_logic; user_led_bottom_right : in std_logic; user_led_connections : out std_logic_vector(7 downto 0) ); end dth_tcds_user_leds; architecture structural of dth_tcds_user_leds is begin -- NOTE: These LEDs are connected via pull-ups, so connecting a -- low signal lights them up. Since it is easier to think in terms -- of positive logic, the external driving signals here are inverted. user_led_connections(0) <= not user_led_bottom_right; user_led_connections(1) <= not user_led_bottom_left_of_right; user_led_connections(2) <= not user_led_bottom_right_of_left; user_led_connections(3) <= not user_led_bottom_left; user_led_connections(4) <= not user_led_top_right; user_led_connections(5) <= not user_led_top_left_of_right; user_led_connections(6) <= not user_led_top_right_of_left; user_led_connections(7) <= not user_led_top_left; end structural; --======================================================================