------------------------------------------------------------------------------- -- Copyright (c) 2014 Xilinx, Inc. -- All Rights Reserved ------------------------------------------------------------------------------- -- ____ ____ -- / /\/ / -- /___/ \ / Vendor : Xilinx -- \ \ \/ Version : 14.7 -- \ \ Application: Xilinx CORE Generator -- / / Filename : ila_pro_0.vho -- /___/ /\ Timestamp : Mon May 05 12:48:37 Eastern Daylight Time 2014 -- \ \ / \ -- \___\/\___\ -- -- Design Name: ISE Instantiation template -- Component Identifier: xilinx.com:ip:chipscope_ila:1.05.a ------------------------------------------------------------------------------- -- The following code must appear in the VHDL architecture header: ------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG component ila_pro_0 PORT ( CONTROL : INOUT STD_LOGIC_VECTOR(35 DOWNTO 0); CLK : IN STD_LOGIC; DATA : IN STD_LOGIC_VECTOR(23 DOWNTO 0); TRIG0 : IN STD_LOGIC_VECTOR(7 DOWNTO 0)); end component; -- COMP_TAG_END ------ End COMPONENT Declaration ------------ -- The following code must appear in the VHDL architecture -- body. Substitute your own instance name and net names. ------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG your_instance_name : ila_pro_0 port map ( CONTROL => CONTROL, CLK => CLK, DATA => DATA, TRIG0 => TRIG0); -- INST_TAG_END ------ End INSTANTIATION Template ------------