------------------------------------------------------------------------------- -- Copyright (c) 2014 Xilinx, Inc. -- All Rights Reserved ------------------------------------------------------------------------------- -- ____ ____ -- / /\/ / -- /___/ \ / Vendor : Xilinx -- \ \ \/ Version : 14.7 -- \ \ Application: XILINX CORE Generator -- / / Filename : ila272x4096.vhd -- /___/ /\ Timestamp : Sun Mar 16 08:44:33 Eastern Daylight Time 2014 -- \ \ / \ -- \___\/\___\ -- -- Design Name: VHDL Synthesis Wrapper ------------------------------------------------------------------------------- -- This wrapper is used to integrate with Project Navigator and PlanAhead LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY ila272x4096 IS port ( CONTROL: inout std_logic_vector(35 downto 0); CLK: in std_logic; DATA: in std_logic_vector(271 downto 0); TRIG0: in std_logic_vector(7 downto 0); TRIG1: in std_logic_vector(7 downto 0)); END ila272x4096; ARCHITECTURE ila272x4096_a OF ila272x4096 IS BEGIN END ila272x4096_a;