------------------------------------------------------------------------------- -- Copyright (c) 2014 Xilinx, Inc. -- All Rights Reserved ------------------------------------------------------------------------------- -- ____ ____ -- / /\/ / -- /___/ \ / Vendor : Xilinx -- \ \ \/ Version : 14.7 -- \ \ Application: XILINX CORE Generator -- / / Filename : ila288x4096.vhd -- /___/ /\ Timestamp : Sun Mar 16 09:43:45 Eastern Daylight Time 2014 -- \ \ / \ -- \___\/\___\ -- -- Design Name: VHDL Synthesis Wrapper ------------------------------------------------------------------------------- -- This wrapper is used to integrate with Project Navigator and PlanAhead LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY ila288x4096 IS port ( CONTROL: inout std_logic_vector(35 downto 0); CLK: in std_logic; DATA: in std_logic_vector(287 downto 0); TRIG0: in std_logic_vector(7 downto 0); TRIG1: in std_logic_vector(7 downto 0)); END ila288x4096; ARCHITECTURE ila288x4096_a OF ila288x4096 IS BEGIN END ila288x4096_a;