------------------------------------------------------------------------------- -- Copyright (c) 2014 Xilinx, Inc. -- All Rights Reserved ------------------------------------------------------------------------------- -- ____ ____ -- / /\/ / -- /___/ \ / Vendor : Xilinx -- \ \ \/ Version : 14.7 -- \ \ Application: XILINX CORE Generator -- / / Filename : ila128x4096.vhd -- /___/ /\ Timestamp : Sun Feb 09 18:11:03 Eastern Standard Time 2014 -- \ \ / \ -- \___\/\___\ -- -- Design Name: VHDL Synthesis Wrapper ------------------------------------------------------------------------------- -- This wrapper is used to integrate with Project Navigator and PlanAhead LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY ila128x4096 IS port ( CONTROL: inout std_logic_vector(35 downto 0); CLK: in std_logic; DATA: in std_logic_vector(127 downto 0); TRIG0: in std_logic_vector(7 downto 0)); END ila128x4096; ARCHITECTURE ila128x4096_a OF ila128x4096 IS BEGIN END ila128x4096_a;