------------------------------------------------------------------------------- -- Copyright (c) 2013 Xilinx, Inc. -- All Rights Reserved ------------------------------------------------------------------------------- -- ____ ____ -- / /\/ / -- /___/ \ / Vendor : Xilinx -- \ \ \/ Version : 14.6 -- \ \ Application: XILINX CORE Generator -- / / Filename : ila128x1024.vhd -- /___/ /\ Timestamp : Wed Sep 25 09:31:36 Eastern Daylight Time 2013 -- \ \ / \ -- \___\/\___\ -- -- Design Name: VHDL Synthesis Wrapper ------------------------------------------------------------------------------- -- This wrapper is used to integrate with Project Navigator and PlanAhead LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY ila128x1024 IS port ( CONTROL: inout std_logic_vector(35 downto 0); CLK: in std_logic; DATA: in std_logic_vector(127 downto 0); TRIG0: in std_logic_vector(7 downto 0)); END ila128x1024; ARCHITECTURE ila128x1024_a OF ila128x1024 IS BEGIN END ila128x1024_a;