------------------------------------------------------------------------------- -- Copyright (c) 2013 Xilinx, Inc. -- All Rights Reserved ------------------------------------------------------------------------------- -- ____ ____ -- / /\/ / -- /___/ \ / Vendor : Xilinx -- \ \ \/ Version : 14.6 -- \ \ Application: XILINX CORE Generator -- / / Filename : icon2.vhd -- /___/ /\ Timestamp : Wed Sep 25 09:28:17 Eastern Daylight Time 2013 -- \ \ / \ -- \___\/\___\ -- -- Design Name: VHDL Synthesis Wrapper ------------------------------------------------------------------------------- -- This wrapper is used to integrate with Project Navigator and PlanAhead LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY icon2 IS port ( CONTROL0: inout std_logic_vector(35 downto 0); CONTROL1: inout std_logic_vector(35 downto 0)); END icon2; ARCHITECTURE icon2_a OF icon2 IS BEGIN END icon2_a;