################################################################################################## ## ## Xilinx, Inc. 2010 www.xilinx.com ## Thu Apr 4 16:17:05 2013 ## Generated by MIG Version 1.9 ## ################################################################################################## ## File name : ddr3_1_9a.xdc ## Details : Constraints file ## FPGA Family: KINTEX7 ## FPGA Part: XC7K325T-FFG900 ## Speedgrade: -2 ## Design Entry: VHDL ## Frequency: 932.836 MHz ## Time Period: 1072 ps ################################################################################################## ################################################################################################## ## Controller 0 ## Memory Device: DDR3_SDRAM->Components->MT41J128M16XX-107G ## Data Width: 32 ## Time Period: 1072 ## Data Mask: 1 ################################################################################################## #create_clock -period 4.288 -name sys_clk [get_ports sys_clk_p] set_propagated_clock sys_clk_p #create_clock -name clk_ref_i -period 5 [get_ports clk_ref_i] #set_propagated_clock clk_ref_i ############## NET - IOSTANDARD ################## # PadFunction: IO_L5P_T0_32 set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[0]}] set_property SLEW FAST [get_ports {ddr3_dq[0]}] set_property IOSTANDARD SSTL15_T_DCI [get_ports {ddr3_dq[0]}] set_property PACKAGE_PIN AH17 [get_ports {ddr3_dq[0]}] # PadFunction: IO_L2N_T0_32 set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[1]}] set_property SLEW FAST [get_ports {ddr3_dq[1]}] set_property IOSTANDARD SSTL15_T_DCI [get_ports {ddr3_dq[1]}] set_property PACKAGE_PIN AH15 [get_ports {ddr3_dq[1]}] # PadFunction: IO_L6P_T0_32 set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[2]}] set_property SLEW FAST [get_ports {ddr3_dq[2]}] set_property IOSTANDARD SSTL15_T_DCI [get_ports {ddr3_dq[2]}] set_property PACKAGE_PIN AE16 [get_ports {ddr3_dq[2]}] # PadFunction: IO_L1N_T0_32 set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[3]}] set_property SLEW FAST [get_ports {ddr3_dq[3]}] set_property IOSTANDARD SSTL15_T_DCI [get_ports {ddr3_dq[3]}] set_property PACKAGE_PIN AK15 [get_ports {ddr3_dq[3]}] # PadFunction: IO_L4N_T0_32 set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[4]}] set_property SLEW FAST [get_ports {ddr3_dq[4]}] set_property IOSTANDARD SSTL15_T_DCI [get_ports {ddr3_dq[4]}] set_property PACKAGE_PIN AG14 [get_ports {ddr3_dq[4]}] # PadFunction: IO_L2P_T0_32 set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[5]}] set_property SLEW FAST [get_ports {ddr3_dq[5]}] set_property IOSTANDARD SSTL15_T_DCI [get_ports {ddr3_dq[5]}] set_property PACKAGE_PIN AG15 [get_ports {ddr3_dq[5]}] # PadFunction: IO_L4P_T0_32 set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[6]}] set_property SLEW FAST [get_ports {ddr3_dq[6]}] set_property IOSTANDARD SSTL15_T_DCI [get_ports {ddr3_dq[6]}] set_property PACKAGE_PIN AF15 [get_ports {ddr3_dq[6]}] # PadFunction: IO_L5N_T0_32 set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[7]}] set_property SLEW FAST [get_ports {ddr3_dq[7]}] set_property IOSTANDARD SSTL15_T_DCI [get_ports {ddr3_dq[7]}] set_property PACKAGE_PIN AJ17 [get_ports {ddr3_dq[7]}] # PadFunction: IO_L7P_T1_32 set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[8]}] set_property SLEW FAST [get_ports {ddr3_dq[8]}] set_property IOSTANDARD SSTL15_T_DCI [get_ports {ddr3_dq[8]}] set_property PACKAGE_PIN AJ19 [get_ports {ddr3_dq[8]}] # PadFunction: IO_L7N_T1_32 set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[9]}] set_property SLEW FAST [get_ports {ddr3_dq[9]}] set_property IOSTANDARD SSTL15_T_DCI [get_ports {ddr3_dq[9]}] set_property PACKAGE_PIN AK19 [get_ports {ddr3_dq[9]}] # PadFunction: IO_L8P_T1_32 set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[10]}] set_property SLEW FAST [get_ports {ddr3_dq[10]}] set_property IOSTANDARD SSTL15_T_DCI [get_ports {ddr3_dq[10]}] set_property PACKAGE_PIN AG19 [get_ports {ddr3_dq[10]}] # PadFunction: IO_L11P_T1_SRCC_32 set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[11]}] set_property SLEW FAST [get_ports {ddr3_dq[11]}] set_property IOSTANDARD SSTL15_T_DCI [get_ports {ddr3_dq[11]}] set_property PACKAGE_PIN AF18 [get_ports {ddr3_dq[11]}] # PadFunction: IO_L10N_T1_32 set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[12]}] set_property SLEW FAST [get_ports {ddr3_dq[12]}] set_property IOSTANDARD SSTL15_T_DCI [get_ports {ddr3_dq[12]}] set_property PACKAGE_PIN AE19 [get_ports {ddr3_dq[12]}] # PadFunction: IO_L12P_T1_MRCC_32 set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[13]}] set_property SLEW FAST [get_ports {ddr3_dq[13]}] set_property IOSTANDARD SSTL15_T_DCI [get_ports {ddr3_dq[13]}] set_property PACKAGE_PIN AF17 [get_ports {ddr3_dq[13]}] # PadFunction: IO_L8N_T1_32 set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[14]}] set_property SLEW FAST [get_ports {ddr3_dq[14]}] set_property IOSTANDARD SSTL15_T_DCI [get_ports {ddr3_dq[14]}] set_property PACKAGE_PIN AH19 [get_ports {ddr3_dq[14]}] # PadFunction: IO_L12N_T1_MRCC_32 set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[15]}] set_property SLEW FAST [get_ports {ddr3_dq[15]}] set_property IOSTANDARD SSTL15_T_DCI [get_ports {ddr3_dq[15]}] set_property PACKAGE_PIN AG17 [get_ports {ddr3_dq[15]}] # PadFunction: IO_L13P_T2_MRCC_34 set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[16]}] set_property SLEW FAST [get_ports {ddr3_dq[16]}] set_property IOSTANDARD SSTL15_T_DCI [get_ports {ddr3_dq[16]}] set_property PACKAGE_PIN AH4 [get_ports {ddr3_dq[16]}] # PadFunction: IO_L16N_T2_34 set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[17]}] set_property SLEW FAST [get_ports {ddr3_dq[17]}] set_property IOSTANDARD SSTL15_T_DCI [get_ports {ddr3_dq[17]}] set_property PACKAGE_PIN AJ2 [get_ports {ddr3_dq[17]}] # PadFunction: IO_L18P_T2_34 set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[18]}] set_property SLEW FAST [get_ports {ddr3_dq[18]}] set_property IOSTANDARD SSTL15_T_DCI [get_ports {ddr3_dq[18]}] set_property PACKAGE_PIN AJ3 [get_ports {ddr3_dq[18]}] # PadFunction: IO_L13N_T2_MRCC_34 set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[19]}] set_property SLEW FAST [get_ports {ddr3_dq[19]}] set_property IOSTANDARD SSTL15_T_DCI [get_ports {ddr3_dq[19]}] set_property PACKAGE_PIN AJ4 [get_ports {ddr3_dq[19]}] # PadFunction: IO_L14N_T2_SRCC_34 set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[20]}] set_property SLEW FAST [get_ports {ddr3_dq[20]}] set_property IOSTANDARD SSTL15_T_DCI [get_ports {ddr3_dq[20]}] set_property PACKAGE_PIN AH5 [get_ports {ddr3_dq[20]}] # PadFunction: IO_L17P_T2_34 set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[21]}] set_property SLEW FAST [get_ports {ddr3_dq[21]}] set_property IOSTANDARD SSTL15_T_DCI [get_ports {ddr3_dq[21]}] set_property PACKAGE_PIN AJ1 [get_ports {ddr3_dq[21]}] # PadFunction: IO_L16P_T2_34 set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[22]}] set_property SLEW FAST [get_ports {ddr3_dq[22]}] set_property IOSTANDARD SSTL15_T_DCI [get_ports {ddr3_dq[22]}] set_property PACKAGE_PIN AH2 [get_ports {ddr3_dq[22]}] # PadFunction: IO_L17N_T2_34 set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[23]}] set_property SLEW FAST [get_ports {ddr3_dq[23]}] set_property IOSTANDARD SSTL15_T_DCI [get_ports {ddr3_dq[23]}] set_property PACKAGE_PIN AK1 [get_ports {ddr3_dq[23]}] # PadFunction: IO_L20P_T3_34 set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[24]}] set_property SLEW FAST [get_ports {ddr3_dq[24]}] set_property IOSTANDARD SSTL15_T_DCI [get_ports {ddr3_dq[24]}] set_property PACKAGE_PIN AF7 [get_ports {ddr3_dq[24]}] # PadFunction: IO_L22P_T3_34 set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[25]}] set_property SLEW FAST [get_ports {ddr3_dq[25]}] set_property IOSTANDARD SSTL15_T_DCI [get_ports {ddr3_dq[25]}] set_property PACKAGE_PIN AJ6 [get_ports {ddr3_dq[25]}] # PadFunction: IO_L23P_T3_34 set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[26]}] set_property SLEW FAST [get_ports {ddr3_dq[26]}] set_property IOSTANDARD SSTL15_T_DCI [get_ports {ddr3_dq[26]}] set_property PACKAGE_PIN AJ8 [get_ports {ddr3_dq[26]}] # PadFunction: IO_L20N_T3_34 set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[27]}] set_property SLEW FAST [get_ports {ddr3_dq[27]}] set_property IOSTANDARD SSTL15_T_DCI [get_ports {ddr3_dq[27]}] set_property PACKAGE_PIN AG7 [get_ports {ddr3_dq[27]}] # PadFunction: IO_L19P_T3_34 set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[28]}] set_property SLEW FAST [get_ports {ddr3_dq[28]}] set_property IOSTANDARD SSTL15_T_DCI [get_ports {ddr3_dq[28]}] set_property PACKAGE_PIN AF8 [get_ports {ddr3_dq[28]}] # PadFunction: IO_L24P_T3_34 set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[29]}] set_property SLEW FAST [get_ports {ddr3_dq[29]}] set_property IOSTANDARD SSTL15_T_DCI [get_ports {ddr3_dq[29]}] set_property PACKAGE_PIN AK5 [get_ports {ddr3_dq[29]}] # PadFunction: IO_L23N_T3_34 set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[30]}] set_property SLEW FAST [get_ports {ddr3_dq[30]}] set_property IOSTANDARD SSTL15_T_DCI [get_ports {ddr3_dq[30]}] set_property PACKAGE_PIN AK8 [get_ports {ddr3_dq[30]}] # PadFunction: IO_L24N_T3_34 set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[31]}] set_property SLEW FAST [get_ports {ddr3_dq[31]}] set_property IOSTANDARD SSTL15_T_DCI [get_ports {ddr3_dq[31]}] set_property PACKAGE_PIN AK4 [get_ports {ddr3_dq[31]}] # PadFunction: IO_L20N_T3_33 set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[13]}] set_property SLEW FAST [get_ports {ddr3_addr[13]}] set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[13]}] set_property PACKAGE_PIN AK13 [get_ports {ddr3_addr[13]}] # PadFunction: IO_L20P_T3_33 set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[12]}] set_property SLEW FAST [get_ports {ddr3_addr[12]}] set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[12]}] set_property PACKAGE_PIN AK14 [get_ports {ddr3_addr[12]}] # PadFunction: IO_L21N_T3_DQS_33 set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[11]}] set_property SLEW FAST [get_ports {ddr3_addr[11]}] set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[11]}] set_property PACKAGE_PIN AJ14 [get_ports {ddr3_addr[11]}] # PadFunction: IO_L23N_T3_33 set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[10]}] set_property SLEW FAST [get_ports {ddr3_addr[10]}] set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[10]}] set_property PACKAGE_PIN AG12 [get_ports {ddr3_addr[10]}] # PadFunction: IO_L22P_T3_33 set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[9]}] set_property SLEW FAST [get_ports {ddr3_addr[9]}] set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[9]}] set_property PACKAGE_PIN AJ13 [get_ports {ddr3_addr[9]}] # PadFunction: IO_L19N_T3_VREF_33 set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[8]}] set_property SLEW FAST [get_ports {ddr3_addr[8]}] set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[8]}] set_property PACKAGE_PIN AF13 [get_ports {ddr3_addr[8]}] # PadFunction: IO_L15P_T2_DQS_33 set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[7]}] set_property SLEW FAST [get_ports {ddr3_addr[7]}] set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[7]}] set_property PACKAGE_PIN AJ9 [get_ports {ddr3_addr[7]}] # PadFunction: IO_L24P_T3_33 set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[6]}] set_property SLEW FAST [get_ports {ddr3_addr[6]}] set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[6]}] set_property PACKAGE_PIN AG13 [get_ports {ddr3_addr[6]}] # PadFunction: IO_L24N_T3_33 set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[5]}] set_property SLEW FAST [get_ports {ddr3_addr[5]}] set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[5]}] set_property PACKAGE_PIN AH12 [get_ports {ddr3_addr[5]}] # PadFunction: IO_L21P_T3_DQS_33 set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[4]}] set_property SLEW FAST [get_ports {ddr3_addr[4]}] set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[4]}] set_property PACKAGE_PIN AH14 [get_ports {ddr3_addr[4]}] # PadFunction: IO_L18P_T2_33 set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[3]}] set_property SLEW FAST [get_ports {ddr3_addr[3]}] set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[3]}] set_property PACKAGE_PIN AH11 [get_ports {ddr3_addr[3]}] # PadFunction: IO_L17P_T2_33 set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[2]}] set_property SLEW FAST [get_ports {ddr3_addr[2]}] set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[2]}] set_property PACKAGE_PIN AK11 [get_ports {ddr3_addr[2]}] # PadFunction: IO_L11N_T1_SRCC_33 set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[1]}] set_property SLEW FAST [get_ports {ddr3_addr[1]}] set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[1]}] set_property PACKAGE_PIN AF11 [get_ports {ddr3_addr[1]}] # PadFunction: IO_L13P_T2_MRCC_33 set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[0]}] set_property SLEW FAST [get_ports {ddr3_addr[0]}] set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[0]}] set_property PACKAGE_PIN AG10 [get_ports {ddr3_addr[0]}] # PadFunction: IO_L16P_T2_33 set_property VCCAUX_IO HIGH [get_ports {ddr3_ba[2]}] set_property SLEW FAST [get_ports {ddr3_ba[2]}] set_property IOSTANDARD SSTL15 [get_ports {ddr3_ba[2]}] set_property PACKAGE_PIN AG9 [get_ports {ddr3_ba[2]}] # PadFunction: IO_L23P_T3_33 set_property VCCAUX_IO HIGH [get_ports {ddr3_ba[1]}] set_property SLEW FAST [get_ports {ddr3_ba[1]}] set_property IOSTANDARD SSTL15 [get_ports {ddr3_ba[1]}] set_property PACKAGE_PIN AF12 [get_ports {ddr3_ba[1]}] # PadFunction: IO_L15N_T2_DQS_33 set_property VCCAUX_IO HIGH [get_ports {ddr3_ba[0]}] set_property SLEW FAST [get_ports {ddr3_ba[0]}] set_property IOSTANDARD SSTL15 [get_ports {ddr3_ba[0]}] set_property PACKAGE_PIN AK9 [get_ports {ddr3_ba[0]}] # PadFunction: IO_L18N_T2_33 set_property VCCAUX_IO HIGH [get_ports ddr3_ras_n] set_property SLEW FAST [get_ports ddr3_ras_n] set_property IOSTANDARD SSTL15 [get_ports ddr3_ras_n] set_property PACKAGE_PIN AJ11 [get_ports ddr3_ras_n] # PadFunction: IO_L13N_T2_MRCC_33 set_property VCCAUX_IO HIGH [get_ports ddr3_cas_n] set_property SLEW FAST [get_ports ddr3_cas_n] set_property IOSTANDARD SSTL15 [get_ports ddr3_cas_n] set_property PACKAGE_PIN AH10 [get_ports ddr3_cas_n] # PadFunction: IO_L16N_T2_33 set_property VCCAUX_IO HIGH [get_ports ddr3_we_n] set_property SLEW FAST [get_ports ddr3_we_n] set_property IOSTANDARD SSTL15 [get_ports ddr3_we_n] set_property PACKAGE_PIN AH9 [get_ports ddr3_we_n] # PadFunction: IO_L8N_T1_34 set_property VCCAUX_IO HIGH [get_ports ddr3_reset_n] set_property SLEW FAST [get_ports ddr3_reset_n] set_property IOSTANDARD LVCMOS15 [get_ports ddr3_reset_n] set_property PACKAGE_PIN AF1 [get_ports ddr3_reset_n] # PadFunction: IO_L22N_T3_33 set_property VCCAUX_IO HIGH [get_ports {ddr3_cke[0]}] set_property SLEW FAST [get_ports {ddr3_cke[0]}] set_property IOSTANDARD SSTL15 [get_ports {ddr3_cke[0]}] set_property PACKAGE_PIN AJ12 [get_ports {ddr3_cke[0]}] # PadFunction: IO_L17N_T2_33 set_property VCCAUX_IO HIGH [get_ports {ddr3_odt[0]}] set_property SLEW FAST [get_ports {ddr3_odt[0]}] set_property IOSTANDARD SSTL15 [get_ports {ddr3_odt[0]}] set_property PACKAGE_PIN AK10 [get_ports {ddr3_odt[0]}] # PadFunction: IO_L1P_T0_32 set_property VCCAUX_IO HIGH [get_ports {ddr3_dm[0]}] set_property SLEW FAST [get_ports {ddr3_dm[0]}] set_property IOSTANDARD SSTL15 [get_ports {ddr3_dm[0]}] set_property PACKAGE_PIN AK16 [get_ports {ddr3_dm[0]}] # PadFunction: IO_L11N_T1_SRCC_32 set_property VCCAUX_IO HIGH [get_ports {ddr3_dm[1]}] set_property SLEW FAST [get_ports {ddr3_dm[1]}] set_property IOSTANDARD SSTL15 [get_ports {ddr3_dm[1]}] set_property PACKAGE_PIN AG18 [get_ports {ddr3_dm[1]}] # PadFunction: IO_L18N_T2_34 set_property VCCAUX_IO HIGH [get_ports {ddr3_dm[2]}] set_property SLEW FAST [get_ports {ddr3_dm[2]}] set_property IOSTANDARD SSTL15 [get_ports {ddr3_dm[2]}] set_property PACKAGE_PIN AK3 [get_ports {ddr3_dm[2]}] # PadFunction: IO_L22N_T3_34 set_property VCCAUX_IO HIGH [get_ports {ddr3_dm[3]}] set_property SLEW FAST [get_ports {ddr3_dm[3]}] set_property IOSTANDARD SSTL15 [get_ports {ddr3_dm[3]}] set_property PACKAGE_PIN AK6 [get_ports {ddr3_dm[3]}] # PadFunction: IO_L14P_T2_SRCC_33 set_property VCCAUX_IO DONTCARE [get_ports sys_clk_p] set_property IOSTANDARD DIFF_SSTL15 [get_ports sys_clk_p] # PadFunction: IO_L14N_T2_SRCC_33 set_property VCCAUX_IO DONTCARE [get_ports sys_clk_n] set_property IOSTANDARD DIFF_SSTL15 [get_ports sys_clk_n] set_property PACKAGE_PIN AE10 [get_ports sys_clk_p] set_property PACKAGE_PIN AF10 [get_ports sys_clk_n] # PadFunction: IO_L3P_T0_DQS_32 set_property VCCAUX_IO HIGH [get_ports {ddr3_dqs_p[0]}] set_property SLEW FAST [get_ports {ddr3_dqs_p[0]}] set_property IOSTANDARD DIFF_SSTL15_T_DCI [get_ports {ddr3_dqs_p[0]}] # PadFunction: IO_L3N_T0_DQS_32 set_property VCCAUX_IO HIGH [get_ports {ddr3_dqs_n[0]}] set_property SLEW FAST [get_ports {ddr3_dqs_n[0]}] set_property IOSTANDARD DIFF_SSTL15_T_DCI [get_ports {ddr3_dqs_n[0]}] set_property LOC OLOGIC_X1Y44 [get_cells i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_2.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/ddr_byte_group_io/dqs_gen.oddr_dqsts] set_property LOC OLOGIC_X1Y44 [get_cells i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_2.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/ddr_byte_group_io/dqs_gen.oddr_dqs] set_property PACKAGE_PIN AH16 [get_ports {ddr3_dqs_p[0]}] set_property PACKAGE_PIN AJ16 [get_ports {ddr3_dqs_n[0]}] # PadFunction: IO_L9P_T1_DQS_32 set_property VCCAUX_IO HIGH [get_ports {ddr3_dqs_p[1]}] set_property SLEW FAST [get_ports {ddr3_dqs_p[1]}] set_property IOSTANDARD DIFF_SSTL15_T_DCI [get_ports {ddr3_dqs_p[1]}] # PadFunction: IO_L9N_T1_DQS_32 set_property VCCAUX_IO HIGH [get_ports {ddr3_dqs_n[1]}] set_property SLEW FAST [get_ports {ddr3_dqs_n[1]}] set_property IOSTANDARD DIFF_SSTL15_T_DCI [get_ports {ddr3_dqs_n[1]}] set_property LOC OLOGIC_X1Y32 [get_cells i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_2.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/ddr_byte_group_io/dqs_gen.oddr_dqsts] set_property LOC OLOGIC_X1Y32 [get_cells i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_2.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/ddr_byte_group_io/dqs_gen.oddr_dqs] set_property PACKAGE_PIN AJ18 [get_ports {ddr3_dqs_p[1]}] set_property PACKAGE_PIN AK18 [get_ports {ddr3_dqs_n[1]}] # PadFunction: IO_L15P_T2_DQS_34 set_property VCCAUX_IO HIGH [get_ports {ddr3_dqs_p[2]}] set_property SLEW FAST [get_ports {ddr3_dqs_p[2]}] set_property IOSTANDARD DIFF_SSTL15_T_DCI [get_ports {ddr3_dqs_p[2]}] # PadFunction: IO_L15N_T2_DQS_34 set_property VCCAUX_IO HIGH [get_ports {ddr3_dqs_n[2]}] set_property SLEW FAST [get_ports {ddr3_dqs_n[2]}] set_property IOSTANDARD DIFF_SSTL15_T_DCI [get_ports {ddr3_dqs_n[2]}] set_property LOC OLOGIC_X1Y120 [get_cells i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_B.ddr_byte_lane_B/ddr_byte_group_io/dqs_gen.oddr_dqsts] set_property LOC OLOGIC_X1Y120 [get_cells i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_B.ddr_byte_lane_B/ddr_byte_group_io/dqs_gen.oddr_dqs] set_property PACKAGE_PIN AG2 [get_ports {ddr3_dqs_p[2]}] set_property PACKAGE_PIN AH1 [get_ports {ddr3_dqs_n[2]}] # PadFunction: IO_L21P_T3_DQS_34 set_property VCCAUX_IO HIGH [get_ports {ddr3_dqs_p[3]}] set_property SLEW FAST [get_ports {ddr3_dqs_p[3]}] set_property IOSTANDARD DIFF_SSTL15_T_DCI [get_ports {ddr3_dqs_p[3]}] # PadFunction: IO_L21N_T3_DQS_34 set_property VCCAUX_IO HIGH [get_ports {ddr3_dqs_n[3]}] set_property SLEW FAST [get_ports {ddr3_dqs_n[3]}] set_property IOSTANDARD DIFF_SSTL15_T_DCI [get_ports {ddr3_dqs_n[3]}] set_property LOC OLOGIC_X1Y108 [get_cells i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_A.ddr_byte_lane_A/ddr_byte_group_io/dqs_gen.oddr_dqs] set_property LOC OLOGIC_X1Y108 [get_cells i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_A.ddr_byte_lane_A/ddr_byte_group_io/dqs_gen.oddr_dqsts] set_property PACKAGE_PIN AH7 [get_ports {ddr3_dqs_p[3]}] set_property PACKAGE_PIN AJ7 [get_ports {ddr3_dqs_n[3]}] # PadFunction: IO_L5P_T0_33 set_property VCCAUX_IO HIGH [get_ports {ddr3_ck_p[0]}] set_property SLEW FAST [get_ports {ddr3_ck_p[0]}] set_property IOSTANDARD DIFF_SSTL15 [get_ports {ddr3_ck_p[0]}] # PadFunction: IO_L5N_T0_33 set_property VCCAUX_IO HIGH [get_ports {ddr3_ck_n[0]}] set_property SLEW FAST [get_ports {ddr3_ck_n[0]}] set_property IOSTANDARD DIFF_SSTL15 [get_ports {ddr3_ck_n[0]}] set_property LOC OLOGIC_X1Y90 [get_cells {i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_1.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/ddr_ck_gen_loop[0].ddr_ck_gen.ddr_ck}] set_property PACKAGE_PIN AA11 [get_ports {ddr3_ck_p[0]}] set_property PACKAGE_PIN AA10 [get_ports {ddr3_ck_n[0]}] set_property LOC PHASER_OUT_PHY_X1Y3 [get_cells i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_2.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/phaser_out] set_property LOC PHASER_OUT_PHY_X1Y2 [get_cells i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_2.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/phaser_out] set_property LOC PHASER_OUT_PHY_X1Y6 [get_cells i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_1.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/phaser_out] set_property LOC PHASER_OUT_PHY_X1Y5 [get_cells i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_1.u_ddr_phy_4lanes/ddr_byte_lane_B.ddr_byte_lane_B/phaser_out] set_property LOC PHASER_OUT_PHY_X1Y4 [get_cells i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_1.u_ddr_phy_4lanes/ddr_byte_lane_A.ddr_byte_lane_A/phaser_out] set_property LOC PHASER_OUT_PHY_X1Y7 [get_cells i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_1.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/phaser_out] set_property LOC PHASER_OUT_PHY_X1Y9 [get_cells i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_B.ddr_byte_lane_B/phaser_out] set_property LOC PHASER_OUT_PHY_X1Y8 [get_cells i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_A.ddr_byte_lane_A/phaser_out] set_property LOC PHASER_IN_PHY_X1Y3 [get_cells i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_2.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/phaser_in_gen.phaser_in] set_property LOC PHASER_IN_PHY_X1Y2 [get_cells i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_2.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/phaser_in_gen.phaser_in] ## set_property LOC PHASER_IN_PHY_X1Y6 [get_cells -hier -filter {NAME =~ */ddr_phy_4lanes_1.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/phaser_in_gen.phaser_in}] ## set_property LOC PHASER_IN_PHY_X1Y5 [get_cells -hier -filter {NAME =~ */ddr_phy_4lanes_1.u_ddr_phy_4lanes/ddr_byte_lane_B.ddr_byte_lane_B/phaser_in_gen.phaser_in}] ## set_property LOC PHASER_IN_PHY_X1Y4 [get_cells -hier -filter {NAME =~ */ddr_phy_4lanes_1.u_ddr_phy_4lanes/ddr_byte_lane_A.ddr_byte_lane_A/phaser_in_gen.phaser_in}] ## set_property LOC PHASER_IN_PHY_X1Y7 [get_cells -hier -filter {NAME =~ */ddr_phy_4lanes_1.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/phaser_in_gen.phaser_in}] set_property LOC PHASER_IN_PHY_X1Y9 [get_cells i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_B.ddr_byte_lane_B/phaser_in_gen.phaser_in] set_property LOC PHASER_IN_PHY_X1Y8 [get_cells i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_A.ddr_byte_lane_A/phaser_in_gen.phaser_in] set_property LOC OUT_FIFO_X1Y3 [get_cells i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_2.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/out_fifo] set_property LOC OUT_FIFO_X1Y2 [get_cells i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_2.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/out_fifo] set_property LOC OUT_FIFO_X1Y6 [get_cells i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_1.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/out_fifo] set_property LOC OUT_FIFO_X1Y5 [get_cells i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_1.u_ddr_phy_4lanes/ddr_byte_lane_B.ddr_byte_lane_B/out_fifo] set_property LOC OUT_FIFO_X1Y4 [get_cells i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_1.u_ddr_phy_4lanes/ddr_byte_lane_A.ddr_byte_lane_A/out_fifo] set_property LOC OUT_FIFO_X1Y9 [get_cells i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_B.ddr_byte_lane_B/out_fifo] set_property LOC OUT_FIFO_X1Y8 [get_cells i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_A.ddr_byte_lane_A/out_fifo] set_property LOC IN_FIFO_X1Y3 [get_cells i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_2.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/in_fifo_gen.in_fifo] set_property LOC IN_FIFO_X1Y2 [get_cells i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_2.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/in_fifo_gen.in_fifo] set_property LOC IN_FIFO_X1Y9 [get_cells i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_B.ddr_byte_lane_B/in_fifo_gen.in_fifo] set_property LOC IN_FIFO_X1Y8 [get_cells i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_A.ddr_byte_lane_A/in_fifo_gen.in_fifo] set_property LOC PHY_CONTROL_X1Y0 [get_cells i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_2.u_ddr_phy_4lanes/phy_control_i] set_property LOC PHY_CONTROL_X1Y1 [get_cells i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_1.u_ddr_phy_4lanes/phy_control_i] set_property LOC PHY_CONTROL_X1Y2 [get_cells i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/phy_control_i] set_property LOC PHASER_REF_X1Y0 [get_cells i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_2.u_ddr_phy_4lanes/phaser_ref_i] set_property LOC PHASER_REF_X1Y1 [get_cells i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_1.u_ddr_phy_4lanes/phaser_ref_i] set_property LOC PHASER_REF_X1Y2 [get_cells i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/phaser_ref_i] set_property LOC OLOGIC_X1Y43 [get_cells i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_2.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/ddr_byte_group_io/slave_ts.oserdes_slave_ts] set_property LOC OLOGIC_X1Y31 [get_cells i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_2.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/ddr_byte_group_io/slave_ts.oserdes_slave_ts] set_property LOC OLOGIC_X1Y119 [get_cells i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_B.ddr_byte_lane_B/ddr_byte_group_io/slave_ts.oserdes_slave_ts] set_property LOC OLOGIC_X1Y107 [get_cells i_ddr_if/i_ddr3/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_A.ddr_byte_lane_A/ddr_byte_group_io/slave_ts.oserdes_slave_ts] set_property LOC PLLE2_ADV_X1Y1 [get_cells i_ddr_if/i_ddr3/u_ddr3_infrastructure/plle2_i] set_property LOC MMCME2_ADV_X1Y1 [get_cells i_ddr_if/i_ddr3/u_ddr3_infrastructure/gen_mmcm.mmcm_i] set_multicycle_path -setup -from [get_cells -hier -filter {NAME =~ */mc0/mc_read_idle_r_reg}] -to [get_cells -hier -filter {NAME =~ */input_[?].iserdes_dq_.iserdesdq}] 6 set_multicycle_path -hold -from [get_cells -hier -filter {NAME =~ */mc0/mc_read_idle_r_reg}] -to [get_cells -hier -filter {NAME =~ */input_[?].iserdes_dq_.iserdesdq}] 5 set_false_path -through [get_pins -filter {NAME =~ */DQSFOUND} -of [get_cells -hier -filter {REF_NAME == PHASER_IN_PHY}]] set_multicycle_path -setup -start -through [get_pins -filter {NAME =~ */OSERDESRST} -of [get_cells -hier -filter {REF_NAME == PHASER_OUT_PHY}]] 2 set_multicycle_path -hold -start -through [get_pins -filter {NAME =~ */OSERDESRST} -of [get_cells -hier -filter {REF_NAME == PHASER_OUT_PHY}]] 1 set_multicycle_path -setup -end -to [get_cells -hier -filter {NAME =~ *temp_mon_enabled.u_tempmon/device_temp_sync_r1*}] 12 set_multicycle_path -hold -end -to [get_cells -hier -filter {NAME =~ *temp_mon_enabled.u_tempmon/device_temp_sync_r1*}] 11