-------------------------------------------------------------------------------- Release 14.7 Trace (lin64) Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. /opt/Xilinx/14.7/ISE_DS/ISE/bin/lin64/unwrapped/trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml AMC13_T2.twx AMC13_T2.ncd -o AMC13_T2.twr AMC13_T2.pcf Design file: AMC13_T2.ncd Physical constraint file: AMC13_T2.pcf Device,package,speed: xc6slx45t,fgg484,C,-2 (PRODUCTION 1.23 2013-10-13) Report level: verbose report Environment Variable Effect -------------------- ------ NONE No environment variables were set -------------------------------------------------------------------------------- INFO:Timing:3412 - To improve timing, see the Timing Closure User Guide (UG612). INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths option. All paths that are not constrained will be reported in the unconstrained paths section(s) of the report. INFO:Timing:3339 - The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet. ================================================================================ Timing constraint: NET "RxFB_in<12>" MAXDELAY = 3 ns; 1 net analyzed, 0 failing nets detected. 0 timing errors detected. Maximum net delay is 2.418ns. -------------------------------------------------------------------------------- Slack: 0.582ns RxFB_in<12> Report: 2.418ns delay meets 3.000ns timing constraint by 0.582ns From To Delay(ns) Y5.I SLICE_X2Y2.AX 2.418 -------------------------------------------------------------------------------- ================================================================================ Timing constraint: NET "RxFB_in<11>" MAXDELAY = 3 ns; 1 net analyzed, 0 failing nets detected. 0 timing errors detected. Maximum net delay is 2.330ns. -------------------------------------------------------------------------------- Slack: 0.670ns RxFB_in<11> Report: 2.330ns delay meets 3.000ns timing constraint by 0.670ns From To Delay(ns) W6.I SLICE_X4Y3.AX 2.330 -------------------------------------------------------------------------------- ================================================================================ Timing constraint: NET "RxFB_in<10>" MAXDELAY = 3 ns; 1 net analyzed, 0 failing nets detected. 0 timing errors detected. Maximum net delay is 2.174ns. -------------------------------------------------------------------------------- Slack: 0.826ns RxFB_in<10> Report: 2.174ns delay meets 3.000ns timing constraint by 0.826ns From To Delay(ns) V7.I SLICE_X6Y2.AX 2.174 -------------------------------------------------------------------------------- ================================================================================ Timing constraint: NET "RxFB_in<9>" MAXDELAY = 3 ns; 1 net analyzed, 0 failing nets detected. 0 timing errors detected. Maximum net delay is 2.613ns. -------------------------------------------------------------------------------- Slack: 0.387ns RxFB_in<9> Report: 2.613ns delay meets 3.000ns timing constraint by 0.387ns From To Delay(ns) Y9.I SLICE_X22Y3.AX 2.613 -------------------------------------------------------------------------------- ================================================================================ Timing constraint: NET "RxFB_in<8>" MAXDELAY = 3 ns; 1 net analyzed, 0 failing nets detected. 0 timing errors detected. Maximum net delay is 2.147ns. -------------------------------------------------------------------------------- Slack: 0.853ns RxFB_in<8> Report: 2.147ns delay meets 3.000ns timing constraint by 0.853ns From To Delay(ns) W9.I SLICE_X16Y2.AX 2.147 -------------------------------------------------------------------------------- ================================================================================ Timing constraint: NET "RxFB_in<7>" MAXDELAY = 3 ns; 1 net analyzed, 0 failing nets detected. 0 timing errors detected. Maximum net delay is 2.418ns. -------------------------------------------------------------------------------- Slack: 0.582ns RxFB_in<7> Report: 2.418ns delay meets 3.000ns timing constraint by 0.582ns From To Delay(ns) V11.I SLICE_X22Y2.AX 2.418 -------------------------------------------------------------------------------- ================================================================================ Timing constraint: NET "RxFB_in<6>" MAXDELAY = 3 ns; 1 net analyzed, 0 failing nets detected. 0 timing errors detected. Maximum net delay is 2.450ns. -------------------------------------------------------------------------------- Slack: 0.550ns RxFB_in<6> Report: 2.450ns delay meets 3.000ns timing constraint by 0.550ns From To Delay(ns) V13.I SLICE_X38Y3.AX 2.450 -------------------------------------------------------------------------------- ================================================================================ Timing constraint: NET "RxFB_in<5>" MAXDELAY = 3 ns; 1 net analyzed, 0 failing nets detected. 0 timing errors detected. Maximum net delay is 2.640ns. -------------------------------------------------------------------------------- Slack: 0.360ns RxFB_in<5> Report: 2.640ns delay meets 3.000ns timing constraint by 0.360ns From To Delay(ns) AA14.I SLICE_X55Y11.AX 2.640 -------------------------------------------------------------------------------- ================================================================================ Timing constraint: NET "RxFB_in<4>" MAXDELAY = 3 ns; 1 net analyzed, 0 failing nets detected. 0 timing errors detected. Maximum net delay is 2.391ns. -------------------------------------------------------------------------------- Slack: 0.609ns RxFB_in<4> Report: 2.391ns delay meets 3.000ns timing constraint by 0.609ns From To Delay(ns) W14.I SLICE_X36Y2.AX 2.391 -------------------------------------------------------------------------------- ================================================================================ Timing constraint: NET "RxFB_in<3>" MAXDELAY = 3 ns; 1 net analyzed, 0 failing nets detected. 0 timing errors detected. Maximum net delay is 2.391ns. -------------------------------------------------------------------------------- Slack: 0.609ns RxFB_in<3> Report: 2.391ns delay meets 3.000ns timing constraint by 0.609ns From To Delay(ns) Y16.I SLICE_X40Y2.AX 2.391 -------------------------------------------------------------------------------- ================================================================================ Timing constraint: NET "RxFB_in<2>" MAXDELAY = 3 ns; 1 net analyzed, 0 failing nets detected. 0 timing errors detected. Maximum net delay is 2.391ns. -------------------------------------------------------------------------------- Slack: 0.609ns RxFB_in<2> Report: 2.391ns delay meets 3.000ns timing constraint by 0.609ns From To Delay(ns) W17.I SLICE_X54Y2.AX 2.391 -------------------------------------------------------------------------------- ================================================================================ Timing constraint: NET "RxFB_in<1>" MAXDELAY = 3 ns; 1 net analyzed, 0 failing nets detected. 0 timing errors detected. Maximum net delay is 2.378ns. -------------------------------------------------------------------------------- Slack: 0.622ns RxFB_in<1> Report: 2.378ns delay meets 3.000ns timing constraint by 0.622ns From To Delay(ns) V17.I SLICE_X56Y2.AX 2.378 -------------------------------------------------------------------------------- ================================================================================ Timing constraint: NET "i_TRIG1/din_polarity_din_XOR_576_o" MAXDELAY = 3 ns; 1 net analyzed, 0 failing nets detected. 0 timing errors detected. Maximum net delay is 2.415ns. -------------------------------------------------------------------------------- Slack: 0.585ns i_TRIG1/din_polarity_din_XOR_576_o Report: 2.415ns delay meets 3.000ns timing constraint by 0.585ns From To Delay(ns) K4.I SLICE_X3Y68.DX 2.415 -------------------------------------------------------------------------------- ================================================================================ Timing constraint: NET "i_TRIG0/din_polarity_din_XOR_576_o" MAXDELAY = 3 ns; 1 net analyzed, 0 failing nets detected. 0 timing errors detected. Maximum net delay is 2.378ns. -------------------------------------------------------------------------------- Slack: 0.622ns i_TRIG0/din_polarity_din_XOR_576_o Report: 2.378ns delay meets 3.000ns timing constraint by 0.622ns From To Delay(ns) L6.I SLICE_X2Y67.A6 2.378 L6.I SLICE_X3Y68.AX 2.280 -------------------------------------------------------------------------------- ================================================================================ Timing constraint: TS_REFCLK_P = PERIOD TIMEGRP "REFCLK_P" 8 ns HIGH 50%; For more information, see Period Analysis in the Timing Closure User Guide (UG612). 0 paths analyzed, 0 endpoints analyzed, 0 failing endpoints 0 timing errors detected. (0 component switching limit errors) Minimum period is 3.703ns. -------------------------------------------------------------------------------- Component Switching Limit Checks: TS_REFCLK_P = PERIOD TIMEGRP "REFCLK_P" 8 ns HIGH 50%; -------------------------------------------------------------------------------- Slack: 4.297ns (period - min period limit) Period: 8.000ns Min period limit: 3.703ns (270.051MHz) (Tgtpcper_CLK) Physical resource: i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/gtpa1_dual_i/CLK00 Logical resource: i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/gtpa1_dual_i/CLK00 Location pin: GTPA1_DUAL_X0Y0.CLK00 Clock network: i_GTP_if/REFCLK -------------------------------------------------------------------------------- Slack: 4.297ns (period - min period limit) Period: 8.000ns Min period limit: 3.703ns (270.051MHz) (Tgtpcper_CLK) Physical resource: i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/gtpa1_dual_i/CLK01 Logical resource: i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/gtpa1_dual_i/CLK01 Location pin: GTPA1_DUAL_X0Y0.CLK01 Clock network: i_GTP_if/REFCLK -------------------------------------------------------------------------------- ================================================================================ Timing constraint: TS_FSIO_SCK = PERIOD TIMEGRP "FSIO_SCK" 250 ns HIGH 50%; For more information, see Period Analysis in the Timing Closure User Guide (UG612). 3652 paths analyzed, 637 endpoints analyzed, 0 failing endpoints 0 timing errors detected. (0 setup errors, 0 hold errors, 0 component switching limit errors) Minimum period is 15.578ns. -------------------------------------------------------------------------------- Paths for end point i_SPI_if/sr_out_2 (SLICE_X3Y71.C3), 30 paths -------------------------------------------------------------------------------- Slack (setup path): 117.211ns (requirement - (data path - clock path skew + uncertainty)) Source: i_SPI_if/STATUS_5 (FF) Destination: i_SPI_if/sr_out_2 (FF) Requirement: 125.000ns Data Path Delay: 7.735ns (Levels of Logic = 3) Clock Path Skew: -0.019ns (0.285 - 0.304) Source Clock: S6_SCK rising at 0.000ns Destination Clock: S6_SCK falling at 125.000ns Clock Uncertainty: 0.035ns Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.070ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.000ns Phase Error (PE): 0.000ns Maximum Data Path at Slow Process Corner: i_SPI_if/STATUS_5 to i_SPI_if/sr_out_2 Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X0Y70.AMUX Tshcko 0.535 i_SPI_if/STATUS_7 i_SPI_if/STATUS_5 SLICE_X11Y60.C1 net (fanout=27) 3.196 i_SPI_if/STATUS_5 SLICE_X11Y60.CMUX Tilo 0.337 i_ipbus/my_ip_addr_udp<2> i_SPI_if/Mmux_IPADDR_i191 SLICE_X4Y64.B3 net (fanout=2) 1.425 ipaddr<26> SLICE_X4Y64.BMUX Topbb 0.423 i_SPI_if/addr[3]_GND_15_o_wide_mux_55_OUT<2>1 i_SPI_if/mux2_5 i_SPI_if/mux2_3_f7 i_SPI_if/mux2_2_f8 SLICE_X3Y71.C3 net (fanout=1) 1.446 i_SPI_if/addr[3]_GND_15_o_wide_mux_55_OUT<2>1 SLICE_X3Y71.CLK Tas 0.373 i_SPI_if/sr_out<3> i_SPI_if/sr_out_2_glue_set i_SPI_if/sr_out_2 ------------------------------------------------- --------------------------- Total 7.735ns (1.668ns logic, 6.067ns route) (21.6% logic, 78.4% route) -------------------------------------------------------------------------------- Slack (setup path): 117.398ns (requirement - (data path - clock path skew + uncertainty)) Source: i_SPI_if/STATUS_5 (FF) Destination: i_SPI_if/sr_out_2 (FF) Requirement: 125.000ns Data Path Delay: 7.548ns (Levels of Logic = 3) Clock Path Skew: -0.019ns (0.285 - 0.304) Source Clock: S6_SCK rising at 0.000ns Destination Clock: S6_SCK falling at 125.000ns Clock Uncertainty: 0.035ns Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.070ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.000ns Phase Error (PE): 0.000ns Maximum Data Path at Slow Process Corner: i_SPI_if/STATUS_5 to i_SPI_if/sr_out_2 Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X0Y70.AMUX Tshcko 0.535 i_SPI_if/STATUS_7 i_SPI_if/STATUS_5 SLICE_X11Y60.C1 net (fanout=27) 3.196 i_SPI_if/STATUS_5 SLICE_X11Y60.C Tilo 0.259 i_ipbus/my_ip_addr_udp<2> i_SPI_if/Mmux_IPADDR_i231 SLICE_X4Y64.A1 net (fanout=2) 1.301 ipaddr<2> SLICE_X4Y64.BMUX Topab 0.438 i_SPI_if/addr[3]_GND_15_o_wide_mux_55_OUT<2>1 i_SPI_if/mux2_4 i_SPI_if/mux2_3_f7 i_SPI_if/mux2_2_f8 SLICE_X3Y71.C3 net (fanout=1) 1.446 i_SPI_if/addr[3]_GND_15_o_wide_mux_55_OUT<2>1 SLICE_X3Y71.CLK Tas 0.373 i_SPI_if/sr_out<3> i_SPI_if/sr_out_2_glue_set i_SPI_if/sr_out_2 ------------------------------------------------- --------------------------- Total 7.548ns (1.605ns logic, 5.943ns route) (21.3% logic, 78.7% route) -------------------------------------------------------------------------------- Slack (setup path): 118.937ns (requirement - (data path - clock path skew + uncertainty)) Source: i_SPI_if/STATUS_5 (FF) Destination: i_SPI_if/sr_out_2 (FF) Requirement: 125.000ns Data Path Delay: 6.009ns (Levels of Logic = 3) Clock Path Skew: -0.019ns (0.285 - 0.304) Source Clock: S6_SCK rising at 0.000ns Destination Clock: S6_SCK falling at 125.000ns Clock Uncertainty: 0.035ns Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.070ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.000ns Phase Error (PE): 0.000ns Maximum Data Path at Slow Process Corner: i_SPI_if/STATUS_5 to i_SPI_if/sr_out_2 Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X0Y70.AMUX Tshcko 0.535 i_SPI_if/STATUS_7 i_SPI_if/STATUS_5 SLICE_X4Y62.C4 net (fanout=27) 2.075 i_SPI_if/STATUS_5 SLICE_X4Y62.C Tilo 0.235 i_ipbus/my_ip_addr_udp<9> i_SPI_if/Mmux_IPADDR_i21 SLICE_X4Y64.A2 net (fanout=2) 0.907 ipaddr<10> SLICE_X4Y64.BMUX Topab 0.438 i_SPI_if/addr[3]_GND_15_o_wide_mux_55_OUT<2>1 i_SPI_if/mux2_4 i_SPI_if/mux2_3_f7 i_SPI_if/mux2_2_f8 SLICE_X3Y71.C3 net (fanout=1) 1.446 i_SPI_if/addr[3]_GND_15_o_wide_mux_55_OUT<2>1 SLICE_X3Y71.CLK Tas 0.373 i_SPI_if/sr_out<3> i_SPI_if/sr_out_2_glue_set i_SPI_if/sr_out_2 ------------------------------------------------- --------------------------- Total 6.009ns (1.581ns logic, 4.428ns route) (26.3% logic, 73.7% route) -------------------------------------------------------------------------------- Paths for end point i_SPI_if/sr_out_1 (SLICE_X3Y71.A3), 30 paths -------------------------------------------------------------------------------- Slack (setup path): 117.509ns (requirement - (data path - clock path skew + uncertainty)) Source: i_SPI_if/STATUS_5 (FF) Destination: i_SPI_if/sr_out_1 (FF) Requirement: 125.000ns Data Path Delay: 7.437ns (Levels of Logic = 3) Clock Path Skew: -0.019ns (0.285 - 0.304) Source Clock: S6_SCK rising at 0.000ns Destination Clock: S6_SCK falling at 125.000ns Clock Uncertainty: 0.035ns Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.070ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.000ns Phase Error (PE): 0.000ns Maximum Data Path at Slow Process Corner: i_SPI_if/STATUS_5 to i_SPI_if/sr_out_1 Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X0Y70.AMUX Tshcko 0.535 i_SPI_if/STATUS_7 i_SPI_if/STATUS_5 SLICE_X10Y60.C2 net (fanout=27) 3.187 i_SPI_if/STATUS_5 SLICE_X10Y60.CMUX Tilo 0.326 i_ipbus/my_ip_addr_udp<25> i_SPI_if/Mmux_IPADDR_i121 SLICE_X2Y63.A3 net (fanout=2) 1.476 ipaddr<1> SLICE_X2Y63.BMUX Topab 0.456 i_SPI_if/addr[3]_GND_15_o_wide_mux_55_OUT<1>1 i_SPI_if/mux1_4 i_SPI_if/mux1_3_f7 i_SPI_if/mux1_2_f8 SLICE_X3Y71.A3 net (fanout=1) 1.084 i_SPI_if/addr[3]_GND_15_o_wide_mux_55_OUT<1>1 SLICE_X3Y71.CLK Tas 0.373 i_SPI_if/sr_out<3> i_SPI_if/sr_out_1_glue_set i_SPI_if/sr_out_1 ------------------------------------------------- --------------------------- Total 7.437ns (1.690ns logic, 5.747ns route) (22.7% logic, 77.3% route) -------------------------------------------------------------------------------- Slack (setup path): 117.986ns (requirement - (data path - clock path skew + uncertainty)) Source: i_SPI_if/STATUS_5 (FF) Destination: i_SPI_if/sr_out_1 (FF) Requirement: 125.000ns Data Path Delay: 6.960ns (Levels of Logic = 3) Clock Path Skew: -0.019ns (0.285 - 0.304) Source Clock: S6_SCK rising at 0.000ns Destination Clock: S6_SCK falling at 125.000ns Clock Uncertainty: 0.035ns Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.070ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.000ns Phase Error (PE): 0.000ns Maximum Data Path at Slow Process Corner: i_SPI_if/STATUS_5 to i_SPI_if/sr_out_1 Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X0Y70.AMUX Tshcko 0.535 i_SPI_if/STATUS_7 i_SPI_if/STATUS_5 SLICE_X10Y60.C2 net (fanout=27) 3.187 i_SPI_if/STATUS_5 SLICE_X10Y60.C Tilo 0.255 i_ipbus/my_ip_addr_udp<25> i_SPI_if/Mmux_IPADDR_i181 SLICE_X2Y63.B5 net (fanout=2) 1.082 ipaddr<25> SLICE_X2Y63.BMUX Topbb 0.444 i_SPI_if/addr[3]_GND_15_o_wide_mux_55_OUT<1>1 i_SPI_if/mux1_5 i_SPI_if/mux1_3_f7 i_SPI_if/mux1_2_f8 SLICE_X3Y71.A3 net (fanout=1) 1.084 i_SPI_if/addr[3]_GND_15_o_wide_mux_55_OUT<1>1 SLICE_X3Y71.CLK Tas 0.373 i_SPI_if/sr_out<3> i_SPI_if/sr_out_1_glue_set i_SPI_if/sr_out_1 ------------------------------------------------- --------------------------- Total 6.960ns (1.607ns logic, 5.353ns route) (23.1% logic, 76.9% route) -------------------------------------------------------------------------------- Slack (setup path): 119.385ns (requirement - (data path - clock path skew + uncertainty)) Source: i_SPI_if/STATUS_5 (FF) Destination: i_SPI_if/sr_out_1 (FF) Requirement: 125.000ns Data Path Delay: 5.561ns (Levels of Logic = 3) Clock Path Skew: -0.019ns (0.285 - 0.304) Source Clock: S6_SCK rising at 0.000ns Destination Clock: S6_SCK falling at 125.000ns Clock Uncertainty: 0.035ns Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.070ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.000ns Phase Error (PE): 0.000ns Maximum Data Path at Slow Process Corner: i_SPI_if/STATUS_5 to i_SPI_if/sr_out_1 Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X0Y70.AMUX Tshcko 0.535 i_SPI_if/STATUS_7 i_SPI_if/STATUS_5 SLICE_X4Y62.C4 net (fanout=27) 2.075 i_SPI_if/STATUS_5 SLICE_X4Y62.CMUX Tilo 0.298 i_ipbus/my_ip_addr_udp<9> i_SPI_if/Mmux_IPADDR_i321 SLICE_X2Y63.A6 net (fanout=2) 0.740 ipaddr<9> SLICE_X2Y63.BMUX Topab 0.456 i_SPI_if/addr[3]_GND_15_o_wide_mux_55_OUT<1>1 i_SPI_if/mux1_4 i_SPI_if/mux1_3_f7 i_SPI_if/mux1_2_f8 SLICE_X3Y71.A3 net (fanout=1) 1.084 i_SPI_if/addr[3]_GND_15_o_wide_mux_55_OUT<1>1 SLICE_X3Y71.CLK Tas 0.373 i_SPI_if/sr_out<3> i_SPI_if/sr_out_1_glue_set i_SPI_if/sr_out_1 ------------------------------------------------- --------------------------- Total 5.561ns (1.662ns logic, 3.899ns route) (29.9% logic, 70.1% route) -------------------------------------------------------------------------------- Paths for end point i_SPI_if/sr_out_0 (SLICE_X2Y71.A4), 29 paths -------------------------------------------------------------------------------- Slack (setup path): 118.155ns (requirement - (data path - clock path skew + uncertainty)) Source: i_SPI_if/STATUS_5 (FF) Destination: i_SPI_if/sr_out_0 (FF) Requirement: 125.000ns Data Path Delay: 6.791ns (Levels of Logic = 3) Clock Path Skew: -0.019ns (0.285 - 0.304) Source Clock: S6_SCK rising at 0.000ns Destination Clock: S6_SCK falling at 125.000ns Clock Uncertainty: 0.035ns Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.070ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.000ns Phase Error (PE): 0.000ns Maximum Data Path at Slow Process Corner: i_SPI_if/STATUS_5 to i_SPI_if/sr_out_0 Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X0Y70.AMUX Tshcko 0.535 i_SPI_if/STATUS_7 i_SPI_if/STATUS_5 SLICE_X9Y61.C1 net (fanout=27) 2.949 i_SPI_if/STATUS_5 SLICE_X9Y61.C Tilo 0.259 i_ipbus/my_ip_addr_udp<24> i_SPI_if/Mmux_IPADDR_i171 SLICE_X2Y64.B5 net (fanout=2) 1.126 ipaddr<24> SLICE_X2Y64.BMUX Topbb 0.444 i_SPI_if/addr[3]_GND_15_o_wide_mux_55_OUT<0>1 i_SPI_if/mux_5 i_SPI_if/mux_3_f7 i_SPI_if/mux_2_f8 SLICE_X2Y71.A4 net (fanout=1) 1.139 i_SPI_if/addr[3]_GND_15_o_wide_mux_55_OUT<0>1 SLICE_X2Y71.CLK Tas 0.339 i_SPI_if/sr_out<0> i_SPI_if/sr_out_0_rstpot i_SPI_if/sr_out_0 ------------------------------------------------- --------------------------- Total 6.791ns (1.577ns logic, 5.214ns route) (23.2% logic, 76.8% route) -------------------------------------------------------------------------------- Slack (setup path): 119.034ns (requirement - (data path - clock path skew + uncertainty)) Source: i_SPI_if/STATUS_5 (FF) Destination: i_SPI_if/sr_out_0 (FF) Requirement: 125.000ns Data Path Delay: 5.912ns (Levels of Logic = 3) Clock Path Skew: -0.019ns (0.285 - 0.304) Source Clock: S6_SCK rising at 0.000ns Destination Clock: S6_SCK falling at 125.000ns Clock Uncertainty: 0.035ns Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.070ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.000ns Phase Error (PE): 0.000ns Maximum Data Path at Slow Process Corner: i_SPI_if/STATUS_5 to i_SPI_if/sr_out_0 Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X0Y70.AMUX Tshcko 0.535 i_SPI_if/STATUS_7 i_SPI_if/STATUS_5 SLICE_X6Y62.D5 net (fanout=27) 2.334 i_SPI_if/STATUS_5 SLICE_X6Y62.D Tilo 0.254 i_ipbus/my_ip_addr_udp<8> i_SPI_if/Mmux_IPADDR_i311 SLICE_X2Y64.A5 net (fanout=2) 0.855 ipaddr<8> SLICE_X2Y64.BMUX Topab 0.456 i_SPI_if/addr[3]_GND_15_o_wide_mux_55_OUT<0>1 i_SPI_if/mux_4 i_SPI_if/mux_3_f7 i_SPI_if/mux_2_f8 SLICE_X2Y71.A4 net (fanout=1) 1.139 i_SPI_if/addr[3]_GND_15_o_wide_mux_55_OUT<0>1 SLICE_X2Y71.CLK Tas 0.339 i_SPI_if/sr_out<0> i_SPI_if/sr_out_0_rstpot i_SPI_if/sr_out_0 ------------------------------------------------- --------------------------- Total 5.912ns (1.584ns logic, 4.328ns route) (26.8% logic, 73.2% route) -------------------------------------------------------------------------------- Slack (setup path): 120.320ns (requirement - (data path - clock path skew + uncertainty)) Source: i_SPI_if/SPI_IPADDR_24 (FF) Destination: i_SPI_if/sr_out_0 (FF) Requirement: 125.000ns Data Path Delay: 4.596ns (Levels of Logic = 3) Clock Path Skew: -0.049ns (0.719 - 0.768) Source Clock: S6_SCK rising at 0.000ns Destination Clock: S6_SCK falling at 125.000ns Clock Uncertainty: 0.035ns Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.070ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.000ns Phase Error (PE): 0.000ns Maximum Data Path at Slow Process Corner: i_SPI_if/SPI_IPADDR_24 to i_SPI_if/sr_out_0 Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X5Y62.AMUX Tshcko 0.518 i_SPI_if/SPI_IPADDR<3> i_SPI_if/SPI_IPADDR_24 SLICE_X9Y61.C5 net (fanout=4) 0.771 i_SPI_if/SPI_IPADDR<24> SLICE_X9Y61.C Tilo 0.259 i_ipbus/my_ip_addr_udp<24> i_SPI_if/Mmux_IPADDR_i171 SLICE_X2Y64.B5 net (fanout=2) 1.126 ipaddr<24> SLICE_X2Y64.BMUX Topbb 0.444 i_SPI_if/addr[3]_GND_15_o_wide_mux_55_OUT<0>1 i_SPI_if/mux_5 i_SPI_if/mux_3_f7 i_SPI_if/mux_2_f8 SLICE_X2Y71.A4 net (fanout=1) 1.139 i_SPI_if/addr[3]_GND_15_o_wide_mux_55_OUT<0>1 SLICE_X2Y71.CLK Tas 0.339 i_SPI_if/sr_out<0> i_SPI_if/sr_out_0_rstpot i_SPI_if/sr_out_0 ------------------------------------------------- --------------------------- Total 4.596ns (1.560ns logic, 3.036ns route) (33.9% logic, 66.1% route) -------------------------------------------------------------------------------- Hold Paths: TS_FSIO_SCK = PERIOD TIMEGRP "FSIO_SCK" 250 ns HIGH 50%; -------------------------------------------------------------------------------- Paths for end point i_SPI_if/BitCntr_3 (SLICE_X4Y73.C5), 1 path -------------------------------------------------------------------------------- Slack (hold path): 0.410ns (requirement - (clock path skew + uncertainty - data path)) Source: i_SPI_if/BitCntr_4 (FF) Destination: i_SPI_if/BitCntr_3 (FF) Requirement: 0.000ns Data Path Delay: 0.410ns (Levels of Logic = 1) Clock Path Skew: 0.000ns Source Clock: S6_SCK rising at 250.000ns Destination Clock: S6_SCK rising at 250.000ns Clock Uncertainty: 0.000ns Minimum Data Path at Fast Process Corner: i_SPI_if/BitCntr_4 to i_SPI_if/BitCntr_3 Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X4Y73.CQ Tcko 0.200 i_SPI_if/BitCntr<4> i_SPI_if/BitCntr_4 SLICE_X4Y73.C5 net (fanout=29) 0.089 i_SPI_if/BitCntr<4> SLICE_X4Y73.CLK Tah (-Th) -0.121 i_SPI_if/BitCntr<4> i_SPI_if/Mmux_BitCntr[3]_BitCntr[3]_MUX_84_o11 i_SPI_if/BitCntr_3 ------------------------------------------------- --------------------------- Total 0.410ns (0.321ns logic, 0.089ns route) (78.3% logic, 21.7% route) -------------------------------------------------------------------------------- Paths for end point i_SPI_if/BitCntr_2 (SLICE_X4Y73.B5), 1 path -------------------------------------------------------------------------------- Slack (hold path): 0.413ns (requirement - (clock path skew + uncertainty - data path)) Source: i_SPI_if/BitCntr_1 (FF) Destination: i_SPI_if/BitCntr_2 (FF) Requirement: 0.000ns Data Path Delay: 0.413ns (Levels of Logic = 1) Clock Path Skew: 0.000ns Source Clock: S6_SCK rising at 250.000ns Destination Clock: S6_SCK rising at 250.000ns Clock Uncertainty: 0.000ns Minimum Data Path at Fast Process Corner: i_SPI_if/BitCntr_1 to i_SPI_if/BitCntr_2 Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X4Y73.BQ Tcko 0.200 i_SPI_if/BitCntr<4> i_SPI_if/BitCntr_1 SLICE_X4Y73.B5 net (fanout=11) 0.092 i_SPI_if/BitCntr<1> SLICE_X4Y73.CLK Tah (-Th) -0.121 i_SPI_if/BitCntr<4> i_SPI_if/Madd_BitCntr[2]_GND_15_o_add_14_OUT_xor<2>11 i_SPI_if/BitCntr_2 ------------------------------------------------- --------------------------- Total 0.413ns (0.321ns logic, 0.092ns route) (77.7% logic, 22.3% route) -------------------------------------------------------------------------------- Paths for end point i_SPI_if/addr_4 (SLICE_X0Y76.A6), 1 path -------------------------------------------------------------------------------- Slack (hold path): 0.416ns (requirement - (clock path skew + uncertainty - data path)) Source: i_SPI_if/addr_4 (FF) Destination: i_SPI_if/addr_4 (FF) Requirement: 0.000ns Data Path Delay: 0.416ns (Levels of Logic = 1) Clock Path Skew: 0.000ns Source Clock: S6_SCK rising at 250.000ns Destination Clock: S6_SCK rising at 250.000ns Clock Uncertainty: 0.000ns Minimum Data Path at Fast Process Corner: i_SPI_if/addr_4 to i_SPI_if/addr_4 Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X0Y76.AQ Tcko 0.200 i_SPI_if/addr<7> i_SPI_if/addr_4 SLICE_X0Y76.A6 net (fanout=4) 0.026 i_SPI_if/addr<4> SLICE_X0Y76.CLK Tah (-Th) -0.190 i_SPI_if/addr<7> i_SPI_if/Mmux_addr[15]_sr_in[6]_mux_41_OUT111 i_SPI_if/addr_4 ------------------------------------------------- --------------------------- Total 0.416ns (0.390ns logic, 0.026ns route) (93.8% logic, 6.2% route) -------------------------------------------------------------------------------- Component Switching Limit Checks: TS_FSIO_SCK = PERIOD TIMEGRP "FSIO_SCK" 250 ns HIGH 50%; -------------------------------------------------------------------------------- Slack: 247.334ns (period - min period limit) Period: 250.000ns Min period limit: 2.666ns (375.094MHz) (Tbcper_I) Physical resource: i_S6_SCK/I0 Logical resource: i_S6_SCK/I0 Location pin: BUFGMUX_X3Y15.I0 Clock network: T1_SCK_OBUF -------------------------------------------------------------------------------- Slack: 248.134ns (period - min period limit) Period: 250.000ns Min period limit: 1.866ns (535.906MHz) (Tickper) Physical resource: T1_MOSI_OBUF/CLK0 Logical resource: i_SPI_if/sr_in_0/CLK0 Location pin: ILOGIC_X0Y68.CLK0 Clock network: S6_SCK -------------------------------------------------------------------------------- Slack: 249.520ns (period - min period limit) Period: 250.000ns Min period limit: 0.480ns (2083.333MHz) (Tcp) Physical resource: i_SPI_if/NET_MASK<3>/CLK Logical resource: i_SPI_if/NET_MASK_24/CK Location pin: SLICE_X2Y65.CLK Clock network: S6_SCK -------------------------------------------------------------------------------- ================================================================================ Timing constraint: TS_TTC_REFCLK = PERIOD TIMEGRP "TTC_REFCLK" 24.9 ns HIGH 50%; For more information, see Period Analysis in the Timing Closure User Guide (UG612). 0 paths analyzed, 0 endpoints analyzed, 0 failing endpoints 0 timing errors detected. (0 component switching limit errors) Minimum period is 10.000ns. -------------------------------------------------------------------------------- Component Switching Limit Checks: TS_TTC_REFCLK = PERIOD TIMEGRP "TTC_REFCLK" 24.9 ns HIGH 50%; -------------------------------------------------------------------------------- Slack: 5.173ns (period - min period limit) Period: 6.225ns Min period limit: 1.052ns (950.570MHz) (Tpllper_CLKOUT(Foutmax)) Physical resource: i_DCM_TTCclk/PLL_ADV/CLKOUT1 Logical resource: i_DCM_TTCclk/PLL_ADV/CLKOUT1 Location pin: PLL_ADV_X0Y2.CLKOUT1 Clock network: TTCclk4x_dcm -------------------------------------------------------------------------------- Slack: 14.900ns (period - (min low pulse limit / (low pulse / period))) Period: 24.900ns Low pulse: 12.450ns Low pulse limit: 5.000ns (Tdcmpw_CLKIN_25_50) Physical resource: i_DCM_TTCclk/PLL_ADV/CLKIN1 Logical resource: i_DCM_TTCclk/PLL_ADV/CLKIN1 Location pin: PLL_ADV_X0Y2.CLKIN2 Clock network: i_DCM_TTCclk/PLL_ADV_ML_NEW_DIVCLK -------------------------------------------------------------------------------- Slack: 14.900ns (period - (min high pulse limit / (high pulse / period))) Period: 24.900ns High pulse: 12.450ns High pulse limit: 5.000ns (Tdcmpw_CLKIN_25_50) Physical resource: i_DCM_TTCclk/PLL_ADV/CLKIN1 Logical resource: i_DCM_TTCclk/PLL_ADV/CLKIN1 Location pin: PLL_ADV_X0Y2.CLKIN2 Clock network: i_DCM_TTCclk/PLL_ADV_ML_NEW_DIVCLK -------------------------------------------------------------------------------- ================================================================================ Timing constraint: TS_TTCclk_p = PERIOD TIMEGRP "TTCclk_p" 24.9 ns HIGH 50%; For more information, see Period Analysis in the Timing Closure User Guide (UG612). 0 paths analyzed, 0 endpoints analyzed, 0 failing endpoints 0 timing errors detected. (0 component switching limit errors) Minimum period is 16.000ns. -------------------------------------------------------------------------------- Component Switching Limit Checks: TS_TTCclk_p = PERIOD TIMEGRP "TTCclk_p" 24.9 ns HIGH 50%; -------------------------------------------------------------------------------- Slack: 8.900ns (period - (min low pulse limit / (low pulse / period))) Period: 24.900ns Low pulse: 12.450ns Low pulse limit: 8.000ns (Tdcmpw_CLKIN_25_50) Physical resource: g_TTCclkOut[0].i_DCM_TTCclkOut/CLKIN Logical resource: g_TTCclkOut[0].i_DCM_TTCclkOut/CLKIN Location pin: DCM_X0Y3.CLKIN Clock network: g_TTCclkOut[0].i_DCM_TTCclkOut_ML_NEW_DIVCLK -------------------------------------------------------------------------------- Slack: 8.900ns (period - (min high pulse limit / (high pulse / period))) Period: 24.900ns High pulse: 12.450ns High pulse limit: 8.000ns (Tdcmpw_CLKIN_25_50) Physical resource: g_TTCclkOut[0].i_DCM_TTCclkOut/CLKIN Logical resource: g_TTCclkOut[0].i_DCM_TTCclkOut/CLKIN Location pin: DCM_X0Y3.CLKIN Clock network: g_TTCclkOut[0].i_DCM_TTCclkOut_ML_NEW_DIVCLK -------------------------------------------------------------------------------- Slack: 8.900ns (period - (min low pulse limit / (low pulse / period))) Period: 24.900ns Low pulse: 12.450ns Low pulse limit: 8.000ns (Tdcmpw_CLKIN_25_50) Physical resource: g_TTCclkOut[1].i_DCM_TTCclkOut/CLKIN Logical resource: g_TTCclkOut[1].i_DCM_TTCclkOut/CLKIN Location pin: DCM_X0Y1.CLKIN Clock network: g_TTCclkOut[1].i_DCM_TTCclkOut_ML_NEW_DIVCLK -------------------------------------------------------------------------------- ================================================================================ Timing constraint: TS_sysclk = PERIOD TIMEGRP "sysclk" 8 ns HIGH 50%; For more information, see Period Analysis in the Timing Closure User Guide (UG612). 62636 paths analyzed, 16075 endpoints analyzed, 0 failing endpoints 0 timing errors detected. (0 setup errors, 0 hold errors, 0 component switching limit errors) Minimum period is 8.000ns. -------------------------------------------------------------------------------- Paths for end point i_ipbus/udp_if/tx_main/send_data.mac_tx_data_int_1 (SLICE_X41Y61.CX), 24 paths -------------------------------------------------------------------------------- Slack (setup path): 0.496ns (requirement - (data path - clock path skew + uncertainty)) Source: rst_ipbus (FF) Destination: i_ipbus/udp_if/tx_main/send_data.mac_tx_data_int_1 (FF) Requirement: 8.000ns Data Path Delay: 7.339ns (Levels of Logic = 3) Clock Path Skew: -0.030ns (0.711 - 0.741) Source Clock: sysclk rising at 0.000ns Destination Clock: sysclk rising at 8.000ns Clock Uncertainty: 0.135ns Clock Uncertainty: 0.135ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.070ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.000ns Maximum Data Path at Slow Process Corner: rst_ipbus to i_ipbus/udp_if/tx_main/send_data.mac_tx_data_int_1 Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X23Y92.DMUX Tshcko 0.518 N41 rst_ipbus SLICE_X24Y74.C6 net (fanout=2) 1.766 rst_ipbus SLICE_X24Y74.C Tilo 0.235 i_ipbus/udp_if/clock_crossing_if/rst_ipb_buf<0> GbEGTPreset1 SLICE_X40Y61.C3 net (fanout=694) 3.005 GbEGTPreset SLICE_X40Y61.C Tilo 0.235 i_ipbus/udp_if/tx_main/send_data.next_mac_tx_data<1> i_ipbus/udp_if/tx_main/Mmux_send_data.next_mac_tx_data[7]_GND_295_o_mux_76_OUT22 SLICE_X40Y61.B4 net (fanout=1) 0.303 i_ipbus/udp_if/tx_main/send_data.next_mac_tx_data[7]_GND_295_o_mux_76_OUT<1> SLICE_X40Y61.B Tilo 0.235 i_ipbus/udp_if/tx_main/send_data.next_mac_tx_data<1> i_ipbus/udp_if/tx_main/Mmux_send_data.mac_tx_data_int[7]_GND_295_o_mux_78_OUT21 SLICE_X41Y61.CX net (fanout=2) 0.928 i_ipbus/udp_if/tx_main/send_data.mac_tx_data_int[7]_GND_295_o_mux_78_OUT<1> SLICE_X41Y61.CLK Tdick 0.114 i_ipbus/udp_if/tx_main/send_data.mac_tx_data_int<1> i_ipbus/udp_if/tx_main/send_data.mac_tx_data_int_1 ------------------------------------------------- --------------------------- Total 7.339ns (1.337ns logic, 6.002ns route) (18.2% logic, 81.8% route) -------------------------------------------------------------------------------- Slack (setup path): 0.879ns (requirement - (data path - clock path skew + uncertainty)) Source: rst_ipbus (FF) Destination: i_ipbus/udp_if/tx_main/send_data.mac_tx_data_int_1 (FF) Requirement: 8.000ns Data Path Delay: 6.956ns (Levels of Logic = 2) Clock Path Skew: -0.030ns (0.711 - 0.741) Source Clock: sysclk rising at 0.000ns Destination Clock: sysclk rising at 8.000ns Clock Uncertainty: 0.135ns Clock Uncertainty: 0.135ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.070ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.000ns Maximum Data Path at Slow Process Corner: rst_ipbus to i_ipbus/udp_if/tx_main/send_data.mac_tx_data_int_1 Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X23Y92.DMUX Tshcko 0.518 N41 rst_ipbus SLICE_X24Y74.C6 net (fanout=2) 1.766 rst_ipbus SLICE_X24Y74.C Tilo 0.235 i_ipbus/udp_if/clock_crossing_if/rst_ipb_buf<0> GbEGTPreset1 SLICE_X40Y61.B2 net (fanout=694) 3.160 GbEGTPreset SLICE_X40Y61.B Tilo 0.235 i_ipbus/udp_if/tx_main/send_data.next_mac_tx_data<1> i_ipbus/udp_if/tx_main/Mmux_send_data.mac_tx_data_int[7]_GND_295_o_mux_78_OUT21 SLICE_X41Y61.CX net (fanout=2) 0.928 i_ipbus/udp_if/tx_main/send_data.mac_tx_data_int[7]_GND_295_o_mux_78_OUT<1> SLICE_X41Y61.CLK Tdick 0.114 i_ipbus/udp_if/tx_main/send_data.mac_tx_data_int<1> i_ipbus/udp_if/tx_main/send_data.mac_tx_data_int_1 ------------------------------------------------- --------------------------- Total 6.956ns (1.102ns logic, 5.854ns route) (15.8% logic, 84.2% route) -------------------------------------------------------------------------------- Slack (setup path): 0.974ns (requirement - (data path - clock path skew + uncertainty)) Source: i_ipbus/udp_if/ipbus_tx_ram/Mram_ram13 (RAM) Destination: i_ipbus/udp_if/tx_main/send_data.mac_tx_data_int_1 (FF) Requirement: 8.000ns Data Path Delay: 6.875ns (Levels of Logic = 4) Clock Path Skew: -0.016ns (0.298 - 0.314) Source Clock: sysclk rising at 0.000ns Destination Clock: sysclk rising at 8.000ns Clock Uncertainty: 0.135ns Clock Uncertainty: 0.135ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.070ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.000ns Maximum Data Path at Slow Process Corner: i_ipbus/udp_if/ipbus_tx_ram/Mram_ram13 to i_ipbus/udp_if/tx_main/send_data.mac_tx_data_int_1 Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- RAMB16_X2Y24.DOB1 Trcko_DOB 2.100 i_ipbus/udp_if/ipbus_tx_ram/Mram_ram13 i_ipbus/udp_if/ipbus_tx_ram/Mram_ram13 SLICE_X44Y55.D6 net (fanout=1) 1.356 i_ipbus/udp_if/ipbus_tx_ram/ram_out<25> SLICE_X44Y55.D Tilo 0.254 i_ipbus/udp_if/udpdob<1> i_ipbus/udp_if/ipbus_tx_ram/Mmux_tx_dob21 SLICE_X44Y55.C6 net (fanout=3) 0.148 i_ipbus/udp_if/udpdob<1> SLICE_X44Y55.C Tilo 0.255 i_ipbus/udp_if/udpdob<1> i_ipbus/udp_if/tx_main/Mmux_send_data.next_mac_tx_data[7]_GND_295_o_mux_76_OUT21 SLICE_X40Y61.C6 net (fanout=1) 0.947 i_ipbus/udp_if/tx_main/Mmux_send_data.next_mac_tx_data[7]_GND_295_o_mux_76_OUT2 SLICE_X40Y61.C Tilo 0.235 i_ipbus/udp_if/tx_main/send_data.next_mac_tx_data<1> i_ipbus/udp_if/tx_main/Mmux_send_data.next_mac_tx_data[7]_GND_295_o_mux_76_OUT22 SLICE_X40Y61.B4 net (fanout=1) 0.303 i_ipbus/udp_if/tx_main/send_data.next_mac_tx_data[7]_GND_295_o_mux_76_OUT<1> SLICE_X40Y61.B Tilo 0.235 i_ipbus/udp_if/tx_main/send_data.next_mac_tx_data<1> i_ipbus/udp_if/tx_main/Mmux_send_data.mac_tx_data_int[7]_GND_295_o_mux_78_OUT21 SLICE_X41Y61.CX net (fanout=2) 0.928 i_ipbus/udp_if/tx_main/send_data.mac_tx_data_int[7]_GND_295_o_mux_78_OUT<1> SLICE_X41Y61.CLK Tdick 0.114 i_ipbus/udp_if/tx_main/send_data.mac_tx_data_int<1> i_ipbus/udp_if/tx_main/send_data.mac_tx_data_int_1 ------------------------------------------------- --------------------------- Total 6.875ns (3.193ns logic, 3.682ns route) (46.4% logic, 53.6% route) -------------------------------------------------------------------------------- Paths for end point i_ipbus/udp_if/status_buffer/history_28 (SLICE_X21Y74.SR), 13 paths -------------------------------------------------------------------------------- Slack (setup path): 0.562ns (requirement - (data path - clock path skew + uncertainty)) Source: i_GTP_if/i_mac/emacclientrxdlast (FF) Destination: i_ipbus/udp_if/status_buffer/history_28 (FF) Requirement: 8.000ns Data Path Delay: 7.271ns (Levels of Logic = 4) Clock Path Skew: -0.032ns (0.726 - 0.758) Source Clock: sysclk rising at 0.000ns Destination Clock: sysclk rising at 8.000ns Clock Uncertainty: 0.135ns Clock Uncertainty: 0.135ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.070ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.000ns Maximum Data Path at Slow Process Corner: i_GTP_if/i_mac/emacclientrxdlast to i_ipbus/udp_if/status_buffer/history_28 Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X18Y55.AMUX Tshcko 0.576 GbErxdvld i_GTP_if/i_mac/emacclientrxdlast SLICE_X21Y54.A6 net (fanout=3) 0.571 GbErxdlast SLICE_X21Y54.A Tilo 0.259 i_ipbus/udp_if/last_rx_last i_ipbus/udp_if/my_rx_last1 SLICE_X24Y75.A6 net (fanout=61) 2.595 i_ipbus/udp_if/my_rx_last SLICE_X24Y75.A Tilo 0.235 i_ipbus/udp_if/status_buffer/history_block.event_pending i_ipbus/udp_if/status_buffer/Mmux_history_block.event_data[7]_pkt_drop_rarp_mux_19_OUT1111 SLICE_X24Y73.C5 net (fanout=1) 0.559 i_ipbus/udp_if/status_buffer/history_block.event_pending_PWR_138_o_MUX_1259_o SLICE_X24Y73.C Tilo 0.235 i_ipbus/udp_if/status_buffer/history<43> i_ipbus/udp_if/status_buffer/Mmux_history_block.event_data[7]_history_block.event_data[7]_MUX_1266_o141 SLICE_X24Y73.D3 net (fanout=9) 0.487 i_ipbus/udp_if/status_buffer/rst_ipb_125_rst_ipb_125_MUX_1270_o SLICE_X24Y73.D Tilo 0.235 i_ipbus/udp_if/status_buffer/history<43> i_ipbus/udp_if/status_buffer/_n02111 SLICE_X21Y74.SR net (fanout=17) 1.051 i_ipbus/udp_if/status_buffer/_n0211 SLICE_X21Y74.CLK Tsrck 0.468 i_ipbus/udp_if/status_buffer/history<28> i_ipbus/udp_if/status_buffer/history_28 ------------------------------------------------- --------------------------- Total 7.271ns (2.008ns logic, 5.263ns route) (27.6% logic, 72.4% route) -------------------------------------------------------------------------------- Slack (setup path): 0.589ns (requirement - (data path - clock path skew + uncertainty)) Source: i_ipbus/udp_if/last_rx_last (FF) Destination: i_ipbus/udp_if/status_buffer/history_28 (FF) Requirement: 8.000ns Data Path Delay: 7.242ns (Levels of Logic = 4) Clock Path Skew: -0.034ns (0.726 - 0.760) Source Clock: sysclk rising at 0.000ns Destination Clock: sysclk rising at 8.000ns Clock Uncertainty: 0.135ns Clock Uncertainty: 0.135ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.070ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.000ns Maximum Data Path at Slow Process Corner: i_ipbus/udp_if/last_rx_last to i_ipbus/udp_if/status_buffer/history_28 Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X21Y54.DQ Tcko 0.430 i_ipbus/udp_if/last_rx_last i_ipbus/udp_if/last_rx_last SLICE_X21Y54.A5 net (fanout=1) 0.688 i_ipbus/udp_if/last_rx_last SLICE_X21Y54.A Tilo 0.259 i_ipbus/udp_if/last_rx_last i_ipbus/udp_if/my_rx_last1 SLICE_X24Y75.A6 net (fanout=61) 2.595 i_ipbus/udp_if/my_rx_last SLICE_X24Y75.A Tilo 0.235 i_ipbus/udp_if/status_buffer/history_block.event_pending i_ipbus/udp_if/status_buffer/Mmux_history_block.event_data[7]_pkt_drop_rarp_mux_19_OUT1111 SLICE_X24Y73.C5 net (fanout=1) 0.559 i_ipbus/udp_if/status_buffer/history_block.event_pending_PWR_138_o_MUX_1259_o SLICE_X24Y73.C Tilo 0.235 i_ipbus/udp_if/status_buffer/history<43> i_ipbus/udp_if/status_buffer/Mmux_history_block.event_data[7]_history_block.event_data[7]_MUX_1266_o141 SLICE_X24Y73.D3 net (fanout=9) 0.487 i_ipbus/udp_if/status_buffer/rst_ipb_125_rst_ipb_125_MUX_1270_o SLICE_X24Y73.D Tilo 0.235 i_ipbus/udp_if/status_buffer/history<43> i_ipbus/udp_if/status_buffer/_n02111 SLICE_X21Y74.SR net (fanout=17) 1.051 i_ipbus/udp_if/status_buffer/_n0211 SLICE_X21Y74.CLK Tsrck 0.468 i_ipbus/udp_if/status_buffer/history<28> i_ipbus/udp_if/status_buffer/history_28 ------------------------------------------------- --------------------------- Total 7.242ns (1.862ns logic, 5.380ns route) (25.7% logic, 74.3% route) -------------------------------------------------------------------------------- Slack (setup path): 0.921ns (requirement - (data path - clock path skew + uncertainty)) Source: i_GTP_if/i_mac/emacclientrxdvld (FF) Destination: i_ipbus/udp_if/status_buffer/history_28 (FF) Requirement: 8.000ns Data Path Delay: 6.912ns (Levels of Logic = 3) Clock Path Skew: -0.032ns (0.726 - 0.758) Source Clock: sysclk rising at 0.000ns Destination Clock: sysclk rising at 8.000ns Clock Uncertainty: 0.135ns Clock Uncertainty: 0.135ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.070ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.000ns Maximum Data Path at Slow Process Corner: i_GTP_if/i_mac/emacclientrxdvld to i_ipbus/udp_if/status_buffer/history_28 Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X18Y55.AQ Tcko 0.525 GbErxdvld i_GTP_if/i_mac/emacclientrxdvld SLICE_X17Y52.B6 net (fanout=214) 0.762 GbErxdvld SLICE_X17Y52.B Tilo 0.259 i_ipbus/udp_if/rx_packet_parser/bigendian.reliable_data<7> i_ipbus/udp_if/rx_reset_block/rx_reset1 SLICE_X24Y73.C4 net (fanout=668) 2.890 i_ipbus/udp_if/rx_reset SLICE_X24Y73.C Tilo 0.235 i_ipbus/udp_if/status_buffer/history<43> i_ipbus/udp_if/status_buffer/Mmux_history_block.event_data[7]_history_block.event_data[7]_MUX_1266_o141 SLICE_X24Y73.D3 net (fanout=9) 0.487 i_ipbus/udp_if/status_buffer/rst_ipb_125_rst_ipb_125_MUX_1270_o SLICE_X24Y73.D Tilo 0.235 i_ipbus/udp_if/status_buffer/history<43> i_ipbus/udp_if/status_buffer/_n02111 SLICE_X21Y74.SR net (fanout=17) 1.051 i_ipbus/udp_if/status_buffer/_n0211 SLICE_X21Y74.CLK Tsrck 0.468 i_ipbus/udp_if/status_buffer/history<28> i_ipbus/udp_if/status_buffer/history_28 ------------------------------------------------- --------------------------- Total 6.912ns (1.722ns logic, 5.190ns route) (24.9% logic, 75.1% route) -------------------------------------------------------------------------------- Paths for end point LinkFIFO_wep (SLICE_X20Y87.B5), 8 paths -------------------------------------------------------------------------------- Slack (setup path): 0.575ns (requirement - (data path - clock path skew + uncertainty)) Source: i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/gtpa1_dual_i (HSIO) Destination: LinkFIFO_wep (FF) Requirement: 8.000ns Data Path Delay: 7.180ns (Levels of Logic = 3) Clock Path Skew: -0.110ns (0.602 - 0.712) Source Clock: sysclk rising at 0.000ns Destination Clock: sysclk rising at 8.000ns Clock Uncertainty: 0.135ns Clock Uncertainty: 0.135ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.070ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.000ns Maximum Data Path at Slow Process Corner: i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/gtpa1_dual_i to LinkFIFO_wep Location Delay type Delay(ns) Physical Resource Logical Resource(s) ----------------------------------------------------- ------------------- GTPA1_DUAL_X0Y0.RXDATA00 Tgtpcko_RXDATA 2.000 i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/gtpa1_dual_i i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/gtpa1_dual_i SLICE_X23Y92.D1 net (fanout=2) 3.029 LINKrxdata<0> SLICE_X23Y92.D Tilo 0.259 N41 LINKrxdata[7]_GND_11_o_equal_153_o<7>_SW0 SLICE_X20Y87.A3 net (fanout=1) 0.870 N41 SLICE_X20Y87.A Tilo 0.235 LinkFIFO_wep LINKrxdata[7]_GND_11_o_equal_153_o<7> SLICE_X20Y87.B5 net (fanout=1) 0.438 LINKrxdata[7]_GND_11_o_equal_153_o SLICE_X20Y87.CLK Tas 0.349 LinkFIFO_wep LinkFIFO_wep_rstpot LinkFIFO_wep ----------------------------------------------------- --------------------------- Total 7.180ns (2.843ns logic, 4.337ns route) (39.6% logic, 60.4% route) -------------------------------------------------------------------------------- Slack (setup path): 0.605ns (requirement - (data path - clock path skew + uncertainty)) Source: i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/gtpa1_dual_i (HSIO) Destination: LinkFIFO_wep (FF) Requirement: 8.000ns Data Path Delay: 7.150ns (Levels of Logic = 2) Clock Path Skew: -0.110ns (0.602 - 0.712) Source Clock: sysclk rising at 0.000ns Destination Clock: sysclk rising at 8.000ns Clock Uncertainty: 0.135ns Clock Uncertainty: 0.135ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.070ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.000ns Maximum Data Path at Slow Process Corner: i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/gtpa1_dual_i to LinkFIFO_wep Location Delay type Delay(ns) Physical Resource Logical Resource(s) ----------------------------------------------------- ------------------- GTPA1_DUAL_X0Y0.RXDATA03 Tgtpcko_RXDATA 2.000 i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/gtpa1_dual_i i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/gtpa1_dual_i SLICE_X20Y87.A1 net (fanout=2) 4.128 LINKrxdata<3> SLICE_X20Y87.A Tilo 0.235 LinkFIFO_wep LINKrxdata[7]_GND_11_o_equal_153_o<7> SLICE_X20Y87.B5 net (fanout=1) 0.438 LINKrxdata[7]_GND_11_o_equal_153_o SLICE_X20Y87.CLK Tas 0.349 LinkFIFO_wep LinkFIFO_wep_rstpot LinkFIFO_wep ----------------------------------------------------- --------------------------- Total 7.150ns (2.584ns logic, 4.566ns route) (36.1% logic, 63.9% route) -------------------------------------------------------------------------------- Slack (setup path): 0.783ns (requirement - (data path - clock path skew + uncertainty)) Source: i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/gtpa1_dual_i (HSIO) Destination: LinkFIFO_wep (FF) Requirement: 8.000ns Data Path Delay: 6.972ns (Levels of Logic = 3) Clock Path Skew: -0.110ns (0.602 - 0.712) Source Clock: sysclk rising at 0.000ns Destination Clock: sysclk rising at 8.000ns Clock Uncertainty: 0.135ns Clock Uncertainty: 0.135ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.070ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.000ns Maximum Data Path at Slow Process Corner: i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/gtpa1_dual_i to LinkFIFO_wep Location Delay type Delay(ns) Physical Resource Logical Resource(s) ----------------------------------------------------- ------------------- GTPA1_DUAL_X0Y0.RXDATA01 Tgtpcko_RXDATA 2.000 i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/gtpa1_dual_i i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/gtpa1_dual_i SLICE_X23Y92.D4 net (fanout=2) 2.821 LINKrxdata<1> SLICE_X23Y92.D Tilo 0.259 N41 LINKrxdata[7]_GND_11_o_equal_153_o<7>_SW0 SLICE_X20Y87.A3 net (fanout=1) 0.870 N41 SLICE_X20Y87.A Tilo 0.235 LinkFIFO_wep LINKrxdata[7]_GND_11_o_equal_153_o<7> SLICE_X20Y87.B5 net (fanout=1) 0.438 LINKrxdata[7]_GND_11_o_equal_153_o SLICE_X20Y87.CLK Tas 0.349 LinkFIFO_wep LinkFIFO_wep_rstpot LinkFIFO_wep ----------------------------------------------------- --------------------------- Total 6.972ns (2.843ns logic, 4.129ns route) (40.8% logic, 59.2% route) -------------------------------------------------------------------------------- Hold Paths: TS_sysclk = PERIOD TIMEGRP "sysclk" 8 ns HIGH 50%; -------------------------------------------------------------------------------- Paths for end point i_GTP_if/i_mac/sel_FCS_1 (SLICE_X30Y86.CE), 1 path -------------------------------------------------------------------------------- Slack (hold path): 0.263ns (requirement - (clock path skew + uncertainty - data path)) Source: i_GTP_if/i_mac/sel_FCS_2 (FF) Destination: i_GTP_if/i_mac/sel_FCS_1 (FF) Requirement: 0.000ns Data Path Delay: 0.268ns (Levels of Logic = 0) Clock Path Skew: 0.005ns (0.034 - 0.029) Source Clock: sysclk rising at 8.000ns Destination Clock: sysclk rising at 8.000ns Clock Uncertainty: 0.000ns Minimum Data Path at Fast Process Corner: i_GTP_if/i_mac/sel_FCS_2 to i_GTP_if/i_mac/sel_FCS_1 Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X30Y87.AQ Tcko 0.234 i_GTP_if/i_mac/sel_FCS<2> i_GTP_if/i_mac/sel_FCS_2 SLICE_X30Y86.CE net (fanout=11) 0.136 i_GTP_if/i_mac/sel_FCS<2> SLICE_X30Y86.CLK Tckce (-Th) 0.102 i_GTP_if/i_mac/sel_FCS<1> i_GTP_if/i_mac/sel_FCS_1 ------------------------------------------------- --------------------------- Total 0.268ns (0.132ns logic, 0.136ns route) (49.3% logic, 50.7% route) -------------------------------------------------------------------------------- Paths for end point i_GTP_if/i_mac/sel_FCS_0 (SLICE_X30Y86.CE), 1 path -------------------------------------------------------------------------------- Slack (hold path): 0.273ns (requirement - (clock path skew + uncertainty - data path)) Source: i_GTP_if/i_mac/sel_FCS_2 (FF) Destination: i_GTP_if/i_mac/sel_FCS_0 (FF) Requirement: 0.000ns Data Path Delay: 0.278ns (Levels of Logic = 0) Clock Path Skew: 0.005ns (0.034 - 0.029) Source Clock: sysclk rising at 8.000ns Destination Clock: sysclk rising at 8.000ns Clock Uncertainty: 0.000ns Minimum Data Path at Fast Process Corner: i_GTP_if/i_mac/sel_FCS_2 to i_GTP_if/i_mac/sel_FCS_0 Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X30Y87.AQ Tcko 0.234 i_GTP_if/i_mac/sel_FCS<2> i_GTP_if/i_mac/sel_FCS_2 SLICE_X30Y86.CE net (fanout=11) 0.136 i_GTP_if/i_mac/sel_FCS<2> SLICE_X30Y86.CLK Tckce (-Th) 0.092 i_GTP_if/i_mac/sel_FCS<1> i_GTP_if/i_mac/sel_FCS_0 ------------------------------------------------- --------------------------- Total 0.278ns (0.142ns logic, 0.136ns route) (51.1% logic, 48.9% route) -------------------------------------------------------------------------------- Paths for end point i_ipbus/udp_if/IPADDR/IP_addr_rx_block.pkt_mask_39 (SLICE_X18Y54.CE), 1 path -------------------------------------------------------------------------------- Slack (hold path): 0.296ns (requirement - (clock path skew + uncertainty - data path)) Source: i_GTP_if/i_mac/emacclientrxdvld (FF) Destination: i_ipbus/udp_if/IPADDR/IP_addr_rx_block.pkt_mask_39 (FF) Requirement: 0.000ns Data Path Delay: 0.300ns (Levels of Logic = 0) Clock Path Skew: 0.004ns (0.033 - 0.029) Source Clock: sysclk rising at 8.000ns Destination Clock: sysclk rising at 8.000ns Clock Uncertainty: 0.000ns Minimum Data Path at Fast Process Corner: i_GTP_if/i_mac/emacclientrxdvld to i_ipbus/udp_if/IPADDR/IP_addr_rx_block.pkt_mask_39 Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X18Y55.AQ Tcko 0.234 GbErxdvld i_GTP_if/i_mac/emacclientrxdvld SLICE_X18Y54.CE net (fanout=214) 0.174 GbErxdvld SLICE_X18Y54.CLK Tckce (-Th) 0.108 i_ipbus/udp_if/IPADDR/IP_addr_rx_block.pkt_mask<39> i_ipbus/udp_if/IPADDR/IP_addr_rx_block.pkt_mask_39 ------------------------------------------------- --------------------------- Total 0.300ns (0.126ns logic, 0.174ns route) (42.0% logic, 58.0% route) -------------------------------------------------------------------------------- Component Switching Limit Checks: TS_sysclk = PERIOD TIMEGRP "sysclk" 8 ns HIGH 50%; -------------------------------------------------------------------------------- Slack: 0.000ns (period - min period limit) Period: 8.000ns Min period limit: 8.000ns (125.000MHz) (Tgtpcper_RXUSRCLK) Physical resource: i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/gtpa1_dual_i/RXUSRCLK20 Logical resource: i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/gtpa1_dual_i/RXUSRCLK20 Location pin: GTPA1_DUAL_X0Y0.RXUSRCLK20 Clock network: sysclk -------------------------------------------------------------------------------- Slack: 0.000ns (period - min period limit) Period: 8.000ns Min period limit: 8.000ns (125.000MHz) (Tgtpcper_RXUSRCLK) Physical resource: i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/gtpa1_dual_i/RXUSRCLK21 Logical resource: i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/gtpa1_dual_i/RXUSRCLK21 Location pin: GTPA1_DUAL_X0Y0.RXUSRCLK21 Clock network: sysclk -------------------------------------------------------------------------------- Slack: 0.000ns (period - min period limit) Period: 8.000ns Min period limit: 8.000ns (125.000MHz) (Tgtpcper_TXUSRCLK) Physical resource: i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/gtpa1_dual_i/TXUSRCLK20 Logical resource: i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/gtpa1_dual_i/TXUSRCLK20 Location pin: GTPA1_DUAL_X0Y0.TXUSRCLK20 Clock network: sysclk -------------------------------------------------------------------------------- ================================================================================ Timing constraint: TS_sysclk2x = PERIOD TIMEGRP "sysclk2x" 4 ns HIGH 50%; For more information, see Period Analysis in the Timing Closure User Guide (UG612). 0 paths analyzed, 0 endpoints analyzed, 0 failing endpoints 0 timing errors detected. (0 component switching limit errors) Minimum period is 3.703ns. -------------------------------------------------------------------------------- Component Switching Limit Checks: TS_sysclk2x = PERIOD TIMEGRP "sysclk2x" 4 ns HIGH 50%; -------------------------------------------------------------------------------- Slack: 0.297ns (period - min period limit) Period: 4.000ns Min period limit: 3.703ns (270.051MHz) (Tgtpcper_RXUSRCLK) Physical resource: i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/gtpa1_dual_i/RXUSRCLK0 Logical resource: i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/gtpa1_dual_i/RXUSRCLK0 Location pin: GTPA1_DUAL_X0Y0.RXUSRCLK0 Clock network: sysclk2x -------------------------------------------------------------------------------- Slack: 0.297ns (period - min period limit) Period: 4.000ns Min period limit: 3.703ns (270.051MHz) (Tgtpcper_TXUSRCLK) Physical resource: i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/gtpa1_dual_i/TXUSRCLK0 Logical resource: i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/gtpa1_dual_i/TXUSRCLK0 Location pin: GTPA1_DUAL_X0Y0.TXUSRCLK0 Clock network: sysclk2x -------------------------------------------------------------------------------- ================================================================================ Timing constraint: TS_ipb_clk = PERIOD TIMEGRP "ipb_clk" 32 ns HIGH 50%; For more information, see Period Analysis in the Timing Closure User Guide (UG612). 25885 paths analyzed, 3337 endpoints analyzed, 0 failing endpoints 0 timing errors detected. (0 setup errors, 0 hold errors, 0 component switching limit errors) Minimum period is 17.854ns. -------------------------------------------------------------------------------- Paths for end point i_FLASH_C_ddr (OLOGIC_X26Y1.D2), 2 paths -------------------------------------------------------------------------------- Slack (setup path): 7.073ns (requirement - (data path - clock path skew + uncertainty)) Source: en_conf (FF) Destination: i_FLASH_C_ddr (FF) Requirement: 16.000ns Data Path Delay: 9.204ns (Levels of Logic = 1) Clock Path Skew: 0.462ns (1.111 - 0.649) Source Clock: ipb_clk rising at 0.000ns Destination Clock: ipb_clk falling at 16.000ns Clock Uncertainty: 0.185ns Clock Uncertainty: 0.185ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.070ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.300ns Phase Error (PE): 0.000ns Maximum Data Path at Slow Process Corner: en_conf to i_FLASH_C_ddr Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X32Y60.AQ Tcko 0.476 rst_CRC en_conf SLICE_X45Y40.A3 net (fanout=35) 3.633 en_conf SLICE_X45Y40.A Tilo 0.259 Mmux_ipb_master_in_ipb_rdata561 en_flash_c1 OLOGIC_X26Y1.D2 net (fanout=1) 3.867 en_flash_c OLOGIC_X26Y1.CLK1 Todck 0.969 FLASH_C_OBUF i_FLASH_C_ddr ------------------------------------------------- --------------------------- Total 9.204ns (1.704ns logic, 7.500ns route) (18.5% logic, 81.5% route) -------------------------------------------------------------------------------- Slack (setup path): 9.390ns (requirement - (data path - clock path skew + uncertainty)) Source: i_flash/MCS_1 (FF) Destination: i_FLASH_C_ddr (FF) Requirement: 16.000ns Data Path Delay: 6.922ns (Levels of Logic = 1) Clock Path Skew: 0.497ns (1.111 - 0.614) Source Clock: ipb_clk rising at 0.000ns Destination Clock: ipb_clk falling at 16.000ns Clock Uncertainty: 0.185ns Clock Uncertainty: 0.185ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.070ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.300ns Phase Error (PE): 0.000ns Maximum Data Path at Slow Process Corner: i_flash/MCS_1 to i_FLASH_C_ddr Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X34Y40.CQ Tcko 0.525 flash_mcs<0> i_flash/MCS_1 SLICE_X45Y40.A4 net (fanout=2) 1.302 flash_mcs<1> SLICE_X45Y40.A Tilo 0.259 Mmux_ipb_master_in_ipb_rdata561 en_flash_c1 OLOGIC_X26Y1.D2 net (fanout=1) 3.867 en_flash_c OLOGIC_X26Y1.CLK1 Todck 0.969 FLASH_C_OBUF i_FLASH_C_ddr ------------------------------------------------- --------------------------- Total 6.922ns (1.753ns logic, 5.169ns route) (25.3% logic, 74.7% route) -------------------------------------------------------------------------------- Paths for end point i_V6CCLK_ddr (OLOGIC_X26Y119.D2), 1 path -------------------------------------------------------------------------------- Slack (setup path): 8.630ns (requirement - (data path - clock path skew + uncertainty)) Source: en_CCLK (FF) Destination: i_V6CCLK_ddr (FF) Requirement: 16.000ns Data Path Delay: 7.634ns (Levels of Logic = 0) Clock Path Skew: 0.449ns (1.188 - 0.739) Source Clock: ipb_clk rising at 0.000ns Destination Clock: ipb_clk falling at 16.000ns Clock Uncertainty: 0.185ns Clock Uncertainty: 0.185ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.070ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.300ns Phase Error (PE): 0.000ns Maximum Data Path at Slow Process Corner: en_CCLK to i_V6CCLK_ddr Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X37Y54.DMUX Tshcko 0.518 calc_CRC en_CCLK OLOGIC_X26Y119.D2 net (fanout=2) 6.147 en_CCLK OLOGIC_X26Y119.CLK1 Todck 0.969 V6_CCLK_OBUF i_V6CCLK_ddr ------------------------------------------------- --------------------------- Total 7.634ns (1.487ns logic, 6.147ns route) (19.5% logic, 80.5% route) -------------------------------------------------------------------------------- Paths for end point i_ipbus/udp_if/ipbus_tx_ram/Mram_ram2 (RAMB16_X3Y34.DIA0), 126 paths -------------------------------------------------------------------------------- Slack (setup path): 14.806ns (requirement - (data path - clock path skew + uncertainty)) Source: i_ipbus/trans/sm/addr_1 (FF) Destination: i_ipbus/udp_if/ipbus_tx_ram/Mram_ram2 (RAM) Requirement: 32.000ns Data Path Delay: 16.993ns (Levels of Logic = 7) Clock Path Skew: -0.016ns (0.701 - 0.717) Source Clock: ipb_clk rising at 0.000ns Destination Clock: ipb_clk rising at 32.000ns Clock Uncertainty: 0.185ns Clock Uncertainty: 0.185ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.070ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.300ns Phase Error (PE): 0.000ns Maximum Data Path at Slow Process Corner: i_ipbus/trans/sm/addr_1 to i_ipbus/udp_if/ipbus_tx_ram/Mram_ram2 Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X44Y36.BQ Tcko 0.525 ipb_master_out_ipb_addr<3> i_ipbus/trans/sm/addr_1 SLICE_X19Y18.B2 net (fanout=234) 5.589 ipb_master_out_ipb_addr<1> SLICE_X19Y18.B Tilo 0.259 delay_twinmux_10<3> Mmux_ipb_master_out_ipb_addr[3]_GND_11_o_wide_mux_161_OUT_81 SLICE_X39Y19.B1 net (fanout=1) 1.674 Mmux_ipb_master_out_ipb_addr[3]_GND_11_o_wide_mux_161_OUT_81 SLICE_X39Y19.B Tilo 0.259 delay_twinmux_3<3> Mmux_ipb_master_in_ipb_rdata492 SLICE_X38Y32.A2 net (fanout=2) 1.437 Mmux_ipb_master_in_ipb_rdata491 SLICE_X38Y32.A Tilo 0.254 N83 Mmux_ipb_master_in_ipb_rdata495_SW1 SLICE_X41Y40.B4 net (fanout=1) 1.306 N83 SLICE_X41Y40.B Tilo 0.259 i_ipbus/trans/sm/rmw_input<3> Mmux_ipb_master_in_ipb_rdata496 SLICE_X41Y40.A5 net (fanout=1) 0.230 Mmux_ipb_master_in_ipb_rdata495 SLICE_X41Y40.A Tilo 0.259 i_ipbus/trans/sm/rmw_input<3> Mmux_ipb_master_in_ipb_rdata497 SLICE_X34Y51.C1 net (fanout=1) 1.504 ipb_master_in_ipb_rdata<2> SLICE_X34Y51.C Tilo 0.255 i_ipbus/trans/cfg_dout<29> i_ipbus/trans/sm/mux101121 SLICE_X34Y51.B4 net (fanout=1) 0.309 i_ipbus/trans/tx_data<2> SLICE_X34Y51.B Tilo 0.254 i_ipbus/trans/cfg_dout<29> i_ipbus/trans/iface/Mmux_trans_out_wdata231 RAMB16_X3Y34.DIA0 net (fanout=1) 2.320 i_ipbus/trans_out_wdata<2> RAMB16_X3Y34.CLKA Trdck_DIA 0.300 i_ipbus/udp_if/ipbus_tx_ram/Mram_ram2 i_ipbus/udp_if/ipbus_tx_ram/Mram_ram2 ------------------------------------------------- --------------------------- Total 16.993ns (2.624ns logic, 14.369ns route) (15.4% logic, 84.6% route) -------------------------------------------------------------------------------- Slack (setup path): 15.080ns (requirement - (data path - clock path skew + uncertainty)) Source: i_ipbus/trans/sm/addr_1 (FF) Destination: i_ipbus/udp_if/ipbus_tx_ram/Mram_ram2 (RAM) Requirement: 32.000ns Data Path Delay: 16.719ns (Levels of Logic = 7) Clock Path Skew: -0.016ns (0.701 - 0.717) Source Clock: ipb_clk rising at 0.000ns Destination Clock: ipb_clk rising at 32.000ns Clock Uncertainty: 0.185ns Clock Uncertainty: 0.185ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.070ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.300ns Phase Error (PE): 0.000ns Maximum Data Path at Slow Process Corner: i_ipbus/trans/sm/addr_1 to i_ipbus/udp_if/ipbus_tx_ram/Mram_ram2 Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X44Y36.BQ Tcko 0.525 ipb_master_out_ipb_addr<3> i_ipbus/trans/sm/addr_1 SLICE_X19Y18.B2 net (fanout=234) 5.589 ipb_master_out_ipb_addr<1> SLICE_X19Y18.B Tilo 0.259 delay_twinmux_10<3> Mmux_ipb_master_out_ipb_addr[3]_GND_11_o_wide_mux_161_OUT_81 SLICE_X39Y19.B1 net (fanout=1) 1.674 Mmux_ipb_master_out_ipb_addr[3]_GND_11_o_wide_mux_161_OUT_81 SLICE_X39Y19.B Tilo 0.259 delay_twinmux_3<3> Mmux_ipb_master_in_ipb_rdata492 SLICE_X39Y19.A5 net (fanout=2) 0.239 Mmux_ipb_master_in_ipb_rdata491 SLICE_X39Y19.A Tilo 0.259 delay_twinmux_3<3> Mmux_ipb_master_in_ipb_rdata495_SW0 SLICE_X41Y40.B1 net (fanout=1) 2.225 N82 SLICE_X41Y40.B Tilo 0.259 i_ipbus/trans/sm/rmw_input<3> Mmux_ipb_master_in_ipb_rdata496 SLICE_X41Y40.A5 net (fanout=1) 0.230 Mmux_ipb_master_in_ipb_rdata495 SLICE_X41Y40.A Tilo 0.259 i_ipbus/trans/sm/rmw_input<3> Mmux_ipb_master_in_ipb_rdata497 SLICE_X34Y51.C1 net (fanout=1) 1.504 ipb_master_in_ipb_rdata<2> SLICE_X34Y51.C Tilo 0.255 i_ipbus/trans/cfg_dout<29> i_ipbus/trans/sm/mux101121 SLICE_X34Y51.B4 net (fanout=1) 0.309 i_ipbus/trans/tx_data<2> SLICE_X34Y51.B Tilo 0.254 i_ipbus/trans/cfg_dout<29> i_ipbus/trans/iface/Mmux_trans_out_wdata231 RAMB16_X3Y34.DIA0 net (fanout=1) 2.320 i_ipbus/trans_out_wdata<2> RAMB16_X3Y34.CLKA Trdck_DIA 0.300 i_ipbus/udp_if/ipbus_tx_ram/Mram_ram2 i_ipbus/udp_if/ipbus_tx_ram/Mram_ram2 ------------------------------------------------- --------------------------- Total 16.719ns (2.629ns logic, 14.090ns route) (15.7% logic, 84.3% route) -------------------------------------------------------------------------------- Slack (setup path): 15.568ns (requirement - (data path - clock path skew + uncertainty)) Source: i_ipbus/trans/sm/addr_0 (FF) Destination: i_ipbus/udp_if/ipbus_tx_ram/Mram_ram2 (RAM) Requirement: 32.000ns Data Path Delay: 16.231ns (Levels of Logic = 7) Clock Path Skew: -0.016ns (0.701 - 0.717) Source Clock: ipb_clk rising at 0.000ns Destination Clock: ipb_clk rising at 32.000ns Clock Uncertainty: 0.185ns Clock Uncertainty: 0.185ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.070ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.300ns Phase Error (PE): 0.000ns Maximum Data Path at Slow Process Corner: i_ipbus/trans/sm/addr_0 to i_ipbus/udp_if/ipbus_tx_ram/Mram_ram2 Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X44Y36.AQ Tcko 0.525 ipb_master_out_ipb_addr<3> i_ipbus/trans/sm/addr_0 SLICE_X19Y18.B6 net (fanout=253) 4.827 ipb_master_out_ipb_addr<0> SLICE_X19Y18.B Tilo 0.259 delay_twinmux_10<3> Mmux_ipb_master_out_ipb_addr[3]_GND_11_o_wide_mux_161_OUT_81 SLICE_X39Y19.B1 net (fanout=1) 1.674 Mmux_ipb_master_out_ipb_addr[3]_GND_11_o_wide_mux_161_OUT_81 SLICE_X39Y19.B Tilo 0.259 delay_twinmux_3<3> Mmux_ipb_master_in_ipb_rdata492 SLICE_X38Y32.A2 net (fanout=2) 1.437 Mmux_ipb_master_in_ipb_rdata491 SLICE_X38Y32.A Tilo 0.254 N83 Mmux_ipb_master_in_ipb_rdata495_SW1 SLICE_X41Y40.B4 net (fanout=1) 1.306 N83 SLICE_X41Y40.B Tilo 0.259 i_ipbus/trans/sm/rmw_input<3> Mmux_ipb_master_in_ipb_rdata496 SLICE_X41Y40.A5 net (fanout=1) 0.230 Mmux_ipb_master_in_ipb_rdata495 SLICE_X41Y40.A Tilo 0.259 i_ipbus/trans/sm/rmw_input<3> Mmux_ipb_master_in_ipb_rdata497 SLICE_X34Y51.C1 net (fanout=1) 1.504 ipb_master_in_ipb_rdata<2> SLICE_X34Y51.C Tilo 0.255 i_ipbus/trans/cfg_dout<29> i_ipbus/trans/sm/mux101121 SLICE_X34Y51.B4 net (fanout=1) 0.309 i_ipbus/trans/tx_data<2> SLICE_X34Y51.B Tilo 0.254 i_ipbus/trans/cfg_dout<29> i_ipbus/trans/iface/Mmux_trans_out_wdata231 RAMB16_X3Y34.DIA0 net (fanout=1) 2.320 i_ipbus/trans_out_wdata<2> RAMB16_X3Y34.CLKA Trdck_DIA 0.300 i_ipbus/udp_if/ipbus_tx_ram/Mram_ram2 i_ipbus/udp_if/ipbus_tx_ram/Mram_ram2 ------------------------------------------------- --------------------------- Total 16.231ns (2.624ns logic, 13.607ns route) (16.2% logic, 83.8% route) -------------------------------------------------------------------------------- Hold Paths: TS_ipb_clk = PERIOD TIMEGRP "ipb_clk" 32 ns HIGH 50%; -------------------------------------------------------------------------------- Paths for end point i_ipbus/udp_if/clock_crossing_if/tx_write_buffer_2 (SLICE_X32Y73.C5), 1 path -------------------------------------------------------------------------------- Slack (hold path): 0.382ns (requirement - (clock path skew + uncertainty - data path)) Source: i_ipbus/udp_if/clock_crossing_if/tx_write_buf_buf_2 (FF) Destination: i_ipbus/udp_if/clock_crossing_if/tx_write_buffer_2 (FF) Requirement: 0.000ns Data Path Delay: 0.382ns (Levels of Logic = 1) Clock Path Skew: 0.000ns Source Clock: ipb_clk rising at 32.000ns Destination Clock: ipb_clk rising at 32.000ns Clock Uncertainty: 0.000ns Minimum Data Path at Fast Process Corner: i_ipbus/udp_if/clock_crossing_if/tx_write_buf_buf_2 to i_ipbus/udp_if/clock_crossing_if/tx_write_buffer_2 Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X32Y73.CQ Tcko 0.200 i_ipbus/udp_if/clock_crossing_if/tx_write_buf_buf<3> i_ipbus/udp_if/clock_crossing_if/tx_write_buf_buf_2 SLICE_X32Y73.C5 net (fanout=1) 0.061 i_ipbus/udp_if/clock_crossing_if/tx_write_buf_buf<2> SLICE_X32Y73.CLK Tah (-Th) -0.121 i_ipbus/udp_if/clock_crossing_if/tx_write_buf_buf<3> i_ipbus/udp_if/clock_crossing_if/tx_write_buf_buf<2>_rt i_ipbus/udp_if/clock_crossing_if/tx_write_buffer_2 ------------------------------------------------- --------------------------- Total 0.382ns (0.321ns logic, 0.061ns route) (84.0% logic, 16.0% route) -------------------------------------------------------------------------------- Paths for end point i_ipbus/udp_if/clock_crossing_if/req_send_buf_2 (SLICE_X36Y47.DX), 1 path -------------------------------------------------------------------------------- Slack (hold path): 0.385ns (requirement - (clock path skew + uncertainty - data path)) Source: i_ipbus/udp_if/clock_crossing_if/req_send_buf_1 (FF) Destination: i_ipbus/udp_if/clock_crossing_if/req_send_buf_2 (FF) Requirement: 0.000ns Data Path Delay: 0.385ns (Levels of Logic = 0) Clock Path Skew: 0.000ns Source Clock: ipb_clk rising at 32.000ns Destination Clock: ipb_clk rising at 32.000ns Clock Uncertainty: 0.000ns Minimum Data Path at Fast Process Corner: i_ipbus/udp_if/clock_crossing_if/req_send_buf_1 to i_ipbus/udp_if/clock_crossing_if/req_send_buf_2 Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X36Y47.CQ Tcko 0.200 i_ipbus/udp_if/clock_crossing_if/req_send_buf<2> i_ipbus/udp_if/clock_crossing_if/req_send_buf_1 SLICE_X36Y47.DX net (fanout=2) 0.137 i_ipbus/udp_if/clock_crossing_if/req_send_buf<1> SLICE_X36Y47.CLK Tckdi (-Th) -0.048 i_ipbus/udp_if/clock_crossing_if/req_send_buf<2> i_ipbus/udp_if/clock_crossing_if/req_send_buf_2 ------------------------------------------------- --------------------------- Total 0.385ns (0.248ns logic, 0.137ns route) (64.4% logic, 35.6% route) -------------------------------------------------------------------------------- Paths for end point i_ipbus/udp_if/clock_crossing_if/tx_write_buffer_1 (SLICE_X32Y73.B5), 1 path -------------------------------------------------------------------------------- Slack (hold path): 0.392ns (requirement - (clock path skew + uncertainty - data path)) Source: i_ipbus/udp_if/clock_crossing_if/tx_write_buf_buf_1 (FF) Destination: i_ipbus/udp_if/clock_crossing_if/tx_write_buffer_1 (FF) Requirement: 0.000ns Data Path Delay: 0.392ns (Levels of Logic = 1) Clock Path Skew: 0.000ns Source Clock: ipb_clk rising at 32.000ns Destination Clock: ipb_clk rising at 32.000ns Clock Uncertainty: 0.000ns Minimum Data Path at Fast Process Corner: i_ipbus/udp_if/clock_crossing_if/tx_write_buf_buf_1 to i_ipbus/udp_if/clock_crossing_if/tx_write_buffer_1 Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X32Y73.BQ Tcko 0.200 i_ipbus/udp_if/clock_crossing_if/tx_write_buf_buf<3> i_ipbus/udp_if/clock_crossing_if/tx_write_buf_buf_1 SLICE_X32Y73.B5 net (fanout=1) 0.071 i_ipbus/udp_if/clock_crossing_if/tx_write_buf_buf<1> SLICE_X32Y73.CLK Tah (-Th) -0.121 i_ipbus/udp_if/clock_crossing_if/tx_write_buf_buf<3> i_ipbus/udp_if/clock_crossing_if/tx_write_buf_buf<1>_rt i_ipbus/udp_if/clock_crossing_if/tx_write_buffer_1 ------------------------------------------------- --------------------------- Total 0.392ns (0.321ns logic, 0.071ns route) (81.9% logic, 18.1% route) -------------------------------------------------------------------------------- Component Switching Limit Checks: TS_ipb_clk = PERIOD TIMEGRP "ipb_clk" 32 ns HIGH 50%; -------------------------------------------------------------------------------- Slack: 28.430ns (period - min period limit) Period: 32.000ns Min period limit: 3.570ns (280.112MHz) (Trper_CLKB(Fmax)) Physical resource: i_ipbus/udp_if/ipbus_rx_ram/Mram_ram11/CLKB Logical resource: i_ipbus/udp_if/ipbus_rx_ram/Mram_ram11/CLKB Location pin: RAMB16_X2Y8.CLKB Clock network: ipb_clk -------------------------------------------------------------------------------- Slack: 28.430ns (period - min period limit) Period: 32.000ns Min period limit: 3.570ns (280.112MHz) (Trper_CLKB(Fmax)) Physical resource: i_ipbus/udp_if/ipbus_rx_ram/Mram_ram12/CLKB Logical resource: i_ipbus/udp_if/ipbus_rx_ram/Mram_ram12/CLKB Location pin: RAMB16_X3Y10.CLKB Clock network: ipb_clk -------------------------------------------------------------------------------- Slack: 28.430ns (period - min period limit) Period: 32.000ns Min period limit: 3.570ns (280.112MHz) (Trper_CLKB(Fmax)) Physical resource: i_ipbus/udp_if/ipbus_rx_ram/Mram_ram21/CLKB Logical resource: i_ipbus/udp_if/ipbus_rx_ram/Mram_ram21/CLKB Location pin: RAMB16_X2Y18.CLKB Clock network: ipb_clk -------------------------------------------------------------------------------- ================================================================================ Timing constraint: TS_TTCclk4x_dcm = PERIOD TIMEGRP "TTCclk4x_dcm" TS_TTC_REFCLK / 4 PHASE -3.890625 ns HIGH 50%; For more information, see Period Analysis in the Timing Closure User Guide (UG612). 169 paths analyzed, 104 endpoints analyzed, 0 failing endpoints 0 timing errors detected. (0 setup errors, 0 hold errors, 0 component switching limit errors) Minimum period is 6.149ns. -------------------------------------------------------------------------------- Paths for end point i_buffer/ramb_st.ramb18_dp_st.ram18_st (RAMB16_X2Y16.DIA26), 1 path -------------------------------------------------------------------------------- Slack (setup path): 0.038ns (requirement - (data path - clock path skew + uncertainty)) Source: g_trig_Twinmux[10].i_trig_data/buffer_dinB (FF) Destination: i_buffer/ramb_st.ramb18_dp_st.ram18_st (RAM) Requirement: 3.113ns Data Path Delay: 2.404ns (Levels of Logic = 0) Clock Path Skew: -0.453ns (2.131 - 2.584) Source Clock: TTCclk8x rising at 5.447ns Destination Clock: TTCclk4x rising at 8.560ns Clock Uncertainty: 0.218ns Clock Uncertainty: 0.218ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.070ns Discrete Jitter (DJ): 0.183ns Phase Error (PE): 0.120ns Maximum Data Path at Slow Process Corner: g_trig_Twinmux[10].i_trig_data/buffer_dinB to i_buffer/ramb_st.ramb18_dp_st.ram18_st Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X20Y28.AMUX Tshcko 0.535 g_trig_Twinmux[10].i_trig_data/o_2 g_trig_Twinmux[10].i_trig_data/buffer_dinB RAMB16_X2Y16.DIA26 net (fanout=1) 1.569 buffer_di<26> RAMB16_X2Y16.CLKA Trdck_DIA 0.300 i_buffer/ramb_st.ramb18_dp_st.ram18_st i_buffer/ramb_st.ramb18_dp_st.ram18_st ------------------------------------------------- --------------------------- Total 2.404ns (0.835ns logic, 1.569ns route) (34.7% logic, 65.3% route) -------------------------------------------------------------------------------- Paths for end point i_buffer/ramb_st.ramb18_dp_st.ram18_st (RAMB16_X2Y16.DIA27), 1 path -------------------------------------------------------------------------------- Slack (setup path): 0.041ns (requirement - (data path - clock path skew + uncertainty)) Source: g_trig_Twinmux[11].i_trig_data/buffer_dinB (FF) Destination: i_buffer/ramb_st.ramb18_dp_st.ram18_st (RAM) Requirement: 3.113ns Data Path Delay: 2.401ns (Levels of Logic = 0) Clock Path Skew: -0.453ns (2.131 - 2.584) Source Clock: TTCclk8x rising at 5.447ns Destination Clock: TTCclk4x rising at 8.560ns Clock Uncertainty: 0.218ns Clock Uncertainty: 0.218ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.070ns Discrete Jitter (DJ): 0.183ns Phase Error (PE): 0.120ns Maximum Data Path at Slow Process Corner: g_trig_Twinmux[11].i_trig_data/buffer_dinB to i_buffer/ramb_st.ramb18_dp_st.ram18_st Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X22Y33.BQ Tcko 0.525 buffer_di<27> g_trig_Twinmux[11].i_trig_data/buffer_dinB RAMB16_X2Y16.DIA27 net (fanout=1) 1.576 buffer_di<27> RAMB16_X2Y16.CLKA Trdck_DIA 0.300 i_buffer/ramb_st.ramb18_dp_st.ram18_st i_buffer/ramb_st.ramb18_dp_st.ram18_st ------------------------------------------------- --------------------------- Total 2.401ns (0.825ns logic, 1.576ns route) (34.4% logic, 65.6% route) -------------------------------------------------------------------------------- Paths for end point i_buffer/ramb_st.ramb18_dp_st.ram18_st (RAMB16_X2Y16.DIA23), 1 path -------------------------------------------------------------------------------- Slack (setup path): 0.115ns (requirement - (data path - clock path skew + uncertainty)) Source: g_trig_Twinmux[7].i_trig_data/buffer_dinB (FF) Destination: i_buffer/ramb_st.ramb18_dp_st.ram18_st (RAM) Requirement: 3.113ns Data Path Delay: 2.326ns (Levels of Logic = 0) Clock Path Skew: -0.454ns (2.131 - 2.585) Source Clock: TTCclk8x rising at 5.447ns Destination Clock: TTCclk4x rising at 8.560ns Clock Uncertainty: 0.218ns Clock Uncertainty: 0.218ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.070ns Discrete Jitter (DJ): 0.183ns Phase Error (PE): 0.120ns Maximum Data Path at Slow Process Corner: g_trig_Twinmux[7].i_trig_data/buffer_dinB to i_buffer/ramb_st.ramb18_dp_st.ram18_st Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X28Y28.BQ Tcko 0.476 buffer_di<23> g_trig_Twinmux[7].i_trig_data/buffer_dinB RAMB16_X2Y16.DIA23 net (fanout=1) 1.550 buffer_di<23> RAMB16_X2Y16.CLKA Trdck_DIA 0.300 i_buffer/ramb_st.ramb18_dp_st.ram18_st i_buffer/ramb_st.ramb18_dp_st.ram18_st ------------------------------------------------- --------------------------- Total 2.326ns (0.776ns logic, 1.550ns route) (33.4% logic, 66.6% route) -------------------------------------------------------------------------------- Hold Paths: TS_TTCclk4x_dcm = PERIOD TIMEGRP "TTCclk4x_dcm" TS_TTC_REFCLK / 4 PHASE -3.890625 ns HIGH 50%; -------------------------------------------------------------------------------- Paths for end point i_buffer/ramb_st.ramb18_dp_st.ram18_st (RAMB16_X2Y16.DIA1), 1 path -------------------------------------------------------------------------------- Slack (hold path): 0.136ns (requirement - (clock path skew + uncertainty - data path)) Source: g_trig_Twinmux[1].i_trig_data/buffer_dinA (FF) Destination: i_buffer/ramb_st.ramb18_dp_st.ram18_st (RAM) Requirement: 0.000ns Data Path Delay: 0.394ns (Levels of Logic = 0) Clock Path Skew: 0.040ns (0.971 - 0.931) Source Clock: TTCclk8x rising at 8.560ns Destination Clock: TTCclk4x rising at 8.560ns Clock Uncertainty: 0.218ns Clock Uncertainty: 0.218ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.070ns Discrete Jitter (DJ): 0.183ns Phase Error (PE): 0.120ns Minimum Data Path at Fast Process Corner: g_trig_Twinmux[1].i_trig_data/buffer_dinA to i_buffer/ramb_st.ramb18_dp_st.ram18_st Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X41Y30.BQ Tcko 0.198 buffer_di<3> g_trig_Twinmux[1].i_trig_data/buffer_dinA RAMB16_X2Y16.DIA1 net (fanout=1) 0.249 buffer_di<1> RAMB16_X2Y16.CLKA Trckd_DIA (-Th) 0.053 i_buffer/ramb_st.ramb18_dp_st.ram18_st i_buffer/ramb_st.ramb18_dp_st.ram18_st ------------------------------------------------- --------------------------- Total 0.394ns (0.145ns logic, 0.249ns route) (36.8% logic, 63.2% route) -------------------------------------------------------------------------------- Paths for end point i_buffer/ramb_st.ramb18_dp_st.ram18_st (RAMB16_X2Y16.DIA5), 1 path -------------------------------------------------------------------------------- Slack (hold path): 0.150ns (requirement - (clock path skew + uncertainty - data path)) Source: g_trig_Twinmux[5].i_trig_data/buffer_dinA (FF) Destination: i_buffer/ramb_st.ramb18_dp_st.ram18_st (RAM) Requirement: 0.000ns Data Path Delay: 0.411ns (Levels of Logic = 0) Clock Path Skew: 0.043ns (0.971 - 0.928) Source Clock: TTCclk8x rising at 8.560ns Destination Clock: TTCclk4x rising at 8.560ns Clock Uncertainty: 0.218ns Clock Uncertainty: 0.218ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.070ns Discrete Jitter (DJ): 0.183ns Phase Error (PE): 0.120ns Minimum Data Path at Fast Process Corner: g_trig_Twinmux[5].i_trig_data/buffer_dinA to i_buffer/ramb_st.ramb18_dp_st.ram18_st Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X40Y28.BQ Tcko 0.200 buffer_di<7> g_trig_Twinmux[5].i_trig_data/buffer_dinA RAMB16_X2Y16.DIA5 net (fanout=1) 0.264 buffer_di<5> RAMB16_X2Y16.CLKA Trckd_DIA (-Th) 0.053 i_buffer/ramb_st.ramb18_dp_st.ram18_st i_buffer/ramb_st.ramb18_dp_st.ram18_st ------------------------------------------------- --------------------------- Total 0.411ns (0.147ns logic, 0.264ns route) (35.8% logic, 64.2% route) -------------------------------------------------------------------------------- Paths for end point i_buffer/ramb_st.ramb18_dp_st.ram18_st (RAMB16_X2Y16.DIA6), 1 path -------------------------------------------------------------------------------- Slack (hold path): 0.150ns (requirement - (clock path skew + uncertainty - data path)) Source: g_trig_Twinmux[6].i_trig_data/buffer_dinA (FF) Destination: i_buffer/ramb_st.ramb18_dp_st.ram18_st (RAM) Requirement: 0.000ns Data Path Delay: 0.411ns (Levels of Logic = 0) Clock Path Skew: 0.043ns (0.971 - 0.928) Source Clock: TTCclk8x rising at 8.560ns Destination Clock: TTCclk4x rising at 8.560ns Clock Uncertainty: 0.218ns Clock Uncertainty: 0.218ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.070ns Discrete Jitter (DJ): 0.183ns Phase Error (PE): 0.120ns Minimum Data Path at Fast Process Corner: g_trig_Twinmux[6].i_trig_data/buffer_dinA to i_buffer/ramb_st.ramb18_dp_st.ram18_st Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X40Y28.CQ Tcko 0.200 buffer_di<7> g_trig_Twinmux[6].i_trig_data/buffer_dinA RAMB16_X2Y16.DIA6 net (fanout=1) 0.264 buffer_di<6> RAMB16_X2Y16.CLKA Trckd_DIA (-Th) 0.053 i_buffer/ramb_st.ramb18_dp_st.ram18_st i_buffer/ramb_st.ramb18_dp_st.ram18_st ------------------------------------------------- --------------------------- Total 0.411ns (0.147ns logic, 0.264ns route) (35.8% logic, 64.2% route) -------------------------------------------------------------------------------- Component Switching Limit Checks: TS_TTCclk4x_dcm = PERIOD TIMEGRP "TTCclk4x_dcm" TS_TTC_REFCLK / 4 PHASE -3.890625 ns HIGH 50%; -------------------------------------------------------------------------------- Slack: 2.655ns (period - min period limit) Period: 6.225ns Min period limit: 3.570ns (280.112MHz) (Trper_CLKA(Fmax)) Physical resource: i_buffer/ramb_st.ramb18_dp_st.ram18_st/CLKA Logical resource: i_buffer/ramb_st.ramb18_dp_st.ram18_st/CLKA Location pin: RAMB16_X2Y16.CLKA Clock network: TTCclk4x -------------------------------------------------------------------------------- Slack: 3.559ns (period - min period limit) Period: 6.225ns Min period limit: 2.666ns (375.094MHz) (Tbcper_I) Physical resource: i_TTCclk4x/I0 Logical resource: i_TTCclk4x/I0 Location pin: BUFGMUX_X2Y10.I0 Clock network: TTCclk4x_dcm -------------------------------------------------------------------------------- Slack: 5.745ns (period - (min high pulse limit / (high pulse / period))) Period: 6.225ns High pulse: 3.112ns High pulse limit: 0.240ns (Trpw) Physical resource: buffer_we<1>/SR Logical resource: buffer_we_2/SR Location pin: SLICE_X38Y40.SR Clock network: _n1438 -------------------------------------------------------------------------------- ================================================================================ Timing constraint: TS_TTCclk_dcm = PERIOD TIMEGRP "TTCclk_dcm" TS_TTC_REFCLK PHASE -3.890625 ns HIGH 50%; For more information, see Period Analysis in the Timing Closure User Guide (UG612). 6120 paths analyzed, 2552 endpoints analyzed, 0 failing endpoints 0 timing errors detected. (0 setup errors, 0 hold errors, 0 component switching limit errors) Minimum period is 24.684ns. -------------------------------------------------------------------------------- Paths for end point i_twinmux/ramb_st.ramb18_dp_st.ram18_st (RAMB16_X2Y20.ADDRA3), 1 path -------------------------------------------------------------------------------- Slack (setup path): 0.027ns (requirement - (data path - clock path skew + uncertainty)) Source: g_trig_Twinmux[3].i_trig_data/twinmux_ra (FF) Destination: i_twinmux/ramb_st.ramb18_dp_st.ram18_st (RAM) Requirement: 3.113ns Data Path Delay: 2.399ns (Levels of Logic = 0) Clock Path Skew: -0.445ns (2.122 - 2.567) Source Clock: TTCclk8x rising at 5.447ns Destination Clock: TTCclk falling at 8.560ns Clock Uncertainty: 0.242ns Clock Uncertainty: 0.242ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.070ns Discrete Jitter (DJ): 0.233ns Phase Error (PE): 0.120ns Maximum Data Path at Slow Process Corner: g_trig_Twinmux[3].i_trig_data/twinmux_ra to i_twinmux/ramb_st.ramb18_dp_st.ram18_st Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X41Y31.DQ Tcko 0.430 twinmux_ra<3> g_trig_Twinmux[3].i_trig_data/twinmux_ra RAMB16_X2Y20.ADDRA3 net (fanout=1) 1.569 twinmux_ra<3> RAMB16_X2Y20.CLKA Trcck_ADDRA 0.400 i_twinmux/ramb_st.ramb18_dp_st.ram18_st i_twinmux/ramb_st.ramb18_dp_st.ram18_st ------------------------------------------------- --------------------------- Total 2.399ns (0.830ns logic, 1.569ns route) (34.6% logic, 65.4% route) -------------------------------------------------------------------------------- Paths for end point i_twinmux/ramb_st.ramb18_dp_st.ram18_st (RAMB16_X2Y20.ADDRA10), 1 path -------------------------------------------------------------------------------- Slack (setup path): 0.070ns (requirement - (data path - clock path skew + uncertainty)) Source: g_trig_Twinmux[11].i_trig_data/twinmux_ra (FF) Destination: i_twinmux/ramb_st.ramb18_dp_st.ram18_st (RAM) Requirement: 3.113ns Data Path Delay: 2.364ns (Levels of Logic = 0) Clock Path Skew: -0.437ns (2.122 - 2.559) Source Clock: TTCclk8x rising at 5.447ns Destination Clock: TTCclk falling at 8.560ns Clock Uncertainty: 0.242ns Clock Uncertainty: 0.242ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.070ns Discrete Jitter (DJ): 0.233ns Phase Error (PE): 0.120ns Maximum Data Path at Slow Process Corner: g_trig_Twinmux[11].i_trig_data/twinmux_ra to i_twinmux/ramb_st.ramb18_dp_st.ram18_st Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X39Y26.DQ Tcko 0.430 twinmux_ra<11> g_trig_Twinmux[11].i_trig_data/twinmux_ra RAMB16_X2Y20.ADDRA10 net (fanout=1) 1.534 twinmux_ra<11> RAMB16_X2Y20.CLKA Trcck_ADDRA 0.400 i_twinmux/ramb_st.ramb18_dp_st.ram18_st i_twinmux/ramb_st.ramb18_dp_st.ram18_st ------------------------------------------------- --------------------------- Total 2.364ns (0.830ns logic, 1.534ns route) (35.1% logic, 64.9% route) -------------------------------------------------------------------------------- Paths for end point i_twinmux/ramb_st.ramb18_dp_st.ram18_st (RAMB16_X2Y20.ADDRA13), 1 path -------------------------------------------------------------------------------- Slack (setup path): 0.121ns (requirement - (data path - clock path skew + uncertainty)) Source: g_trig_Twinmux[4].i_trig_data/twinmux_ra (FF) Destination: i_twinmux/ramb_st.ramb18_dp_st.ram18_st (RAM) Requirement: 3.113ns Data Path Delay: 2.312ns (Levels of Logic = 0) Clock Path Skew: -0.438ns (2.122 - 2.560) Source Clock: TTCclk8x rising at 5.447ns Destination Clock: TTCclk falling at 8.560ns Clock Uncertainty: 0.242ns Clock Uncertainty: 0.242ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.070ns Discrete Jitter (DJ): 0.233ns Phase Error (PE): 0.120ns Maximum Data Path at Slow Process Corner: g_trig_Twinmux[4].i_trig_data/twinmux_ra to i_twinmux/ramb_st.ramb18_dp_st.ram18_st Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X43Y27.AQ Tcko 0.430 twinmux_ra<4> g_trig_Twinmux[4].i_trig_data/twinmux_ra RAMB16_X2Y20.ADDRA13 net (fanout=1) 1.482 twinmux_ra<4> RAMB16_X2Y20.CLKA Trcck_ADDRA 0.400 i_twinmux/ramb_st.ramb18_dp_st.ram18_st i_twinmux/ramb_st.ramb18_dp_st.ram18_st ------------------------------------------------- --------------------------- Total 2.312ns (0.830ns logic, 1.482ns route) (35.9% logic, 64.1% route) -------------------------------------------------------------------------------- Hold Paths: TS_TTCclk_dcm = PERIOD TIMEGRP "TTCclk_dcm" TS_TTC_REFCLK PHASE -3.890625 ns HIGH 50%; -------------------------------------------------------------------------------- Paths for end point i_TTC_history2/ramb_st.ramb9_dp_st.ram9_st (RAMB8_X3Y19.DIADI1), 1 path -------------------------------------------------------------------------------- Slack (hold path): 0.266ns (requirement - (clock path skew + uncertainty - data path)) Source: TTC_history_di_65 (FF) Destination: i_TTC_history2/ramb_st.ramb9_dp_st.ram9_st (RAM) Requirement: 0.000ns Data Path Delay: 0.270ns (Levels of Logic = 0) Clock Path Skew: 0.004ns (0.066 - 0.062) Source Clock: TTCclk rising at 21.010ns Destination Clock: TTCclk rising at 21.010ns Clock Uncertainty: 0.000ns Minimum Data Path at Fast Process Corner: TTC_history_di_65 to i_TTC_history2/ramb_st.ramb9_dp_st.ram9_st Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X55Y38.BQ Tcko 0.198 TTC_history_di<67> TTC_history_di_65 RAMB8_X3Y19.DIADI1 net (fanout=1) 0.125 TTC_history_di<65> RAMB8_X3Y19.CLKAWRCLKTrckd_DIA (-Th) 0.053 i_TTC_history2/ramb_st.ramb9_dp_st.ram9_st i_TTC_history2/ramb_st.ramb9_dp_st.ram9_st ------------------------------------------------- --------------------------- Total 0.270ns (0.145ns logic, 0.125ns route) (53.7% logic, 46.3% route) -------------------------------------------------------------------------------- Paths for end point i_twinmux/ramb_st.ramb18_dp_st.ram18_st (RAMB16_X2Y20.ADDRA11), 1 path -------------------------------------------------------------------------------- Slack (hold path): 0.267ns (requirement - (clock path skew + uncertainty - data path)) Source: i_TRIG0/twinmux_ra (FF) Destination: i_twinmux/ramb_st.ramb18_dp_st.ram18_st (RAM) Requirement: 0.000ns Data Path Delay: 0.551ns (Levels of Logic = 0) Clock Path Skew: 0.042ns (0.962 - 0.920) Source Clock: TTCclk8x rising at 8.560ns Destination Clock: TTCclk falling at 8.560ns Clock Uncertainty: 0.242ns Clock Uncertainty: 0.242ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.070ns Discrete Jitter (DJ): 0.233ns Phase Error (PE): 0.120ns Minimum Data Path at Fast Process Corner: i_TRIG0/twinmux_ra to i_twinmux/ramb_st.ramb18_dp_st.ram18_st Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X31Y42.AQ Tcko 0.198 twinmux_ra<13> i_TRIG0/twinmux_ra RAMB16_X2Y20.ADDRA11 net (fanout=1) 0.419 twinmux_ra<12> RAMB16_X2Y20.CLKA Trckc_ADDRA (-Th) 0.066 i_twinmux/ramb_st.ramb18_dp_st.ram18_st i_twinmux/ramb_st.ramb18_dp_st.ram18_st ------------------------------------------------- --------------------------- Total 0.551ns (0.132ns logic, 0.419ns route) (24.0% logic, 76.0% route) -------------------------------------------------------------------------------- Paths for end point TTCcmdCntr_8_3 (SLICE_X44Y24.CE), 1 path -------------------------------------------------------------------------------- Slack (hold path): 0.289ns (requirement - (clock path skew + uncertainty - data path)) Source: inc_TTCcmdCntr_8 (FF) Destination: TTCcmdCntr_8_3 (FF) Requirement: 0.000ns Data Path Delay: 0.291ns (Levels of Logic = 0) Clock Path Skew: 0.002ns (0.032 - 0.030) Source Clock: TTCclk rising at 21.010ns Destination Clock: TTCclk rising at 21.010ns Clock Uncertainty: 0.000ns Minimum Data Path at Fast Process Corner: inc_TTCcmdCntr_8 to TTCcmdCntr_8_3 Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X45Y24.DQ Tcko 0.198 inc_TTCcmdCntr<8> inc_TTCcmdCntr_8 SLICE_X44Y24.CE net (fanout=5) 0.201 inc_TTCcmdCntr<8> SLICE_X44Y24.CLK Tckce (-Th) 0.108 TTCcmdCntr_8<3> TTCcmdCntr_8_3 ------------------------------------------------- --------------------------- Total 0.291ns (0.090ns logic, 0.201ns route) (30.9% logic, 69.1% route) -------------------------------------------------------------------------------- Component Switching Limit Checks: TS_TTCclk_dcm = PERIOD TIMEGRP "TTCclk_dcm" TS_TTC_REFCLK PHASE -3.890625 ns HIGH 50%; -------------------------------------------------------------------------------- Slack: 21.330ns (period - min period limit) Period: 24.900ns Min period limit: 3.570ns (280.112MHz) (Trper_CLKA(Fmax)) Physical resource: i_TTC_history1/ramb_st.ramb18_sdp_st.ram18_st/CLKA Logical resource: i_TTC_history1/ramb_st.ramb18_sdp_st.ram18_st/CLKA Location pin: RAMB16_X3Y24.CLKA Clock network: TTCclk -------------------------------------------------------------------------------- Slack: 21.330ns (period - min period limit) Period: 24.900ns Min period limit: 3.570ns (280.112MHz) (Trper_CLKA(Fmax)) Physical resource: i_TTC_history0/ramb_st.ramb18_sdp_st.ram18_st/CLKA Logical resource: i_TTC_history0/ramb_st.ramb18_sdp_st.ram18_st/CLKA Location pin: RAMB16_X3Y22.CLKA Clock network: TTCclk -------------------------------------------------------------------------------- Slack: 21.330ns (period - min period limit) Period: 24.900ns Min period limit: 3.570ns (280.112MHz) (Trper_CLKA(Fmax)) Physical resource: i_twinmux/ramb_st.ramb18_dp_st.ram18_st/CLKA Logical resource: i_twinmux/ramb_st.ramb18_dp_st.ram18_st/CLKA Location pin: RAMB16_X2Y20.CLKA Clock network: TTCclk -------------------------------------------------------------------------------- ================================================================================ Timing constraint: TS_TTCclk8x_dcm = PERIOD TIMEGRP "TTCclk8x_dcm" TS_TTC_REFCLK / 8 PHASE -0.778125 ns HIGH 50%; For more information, see Period Analysis in the Timing Closure User Guide (UG612). 291 paths analyzed, 291 endpoints analyzed, 0 failing endpoints 0 timing errors detected. (0 setup errors, 0 hold errors, 0 component switching limit errors) Minimum period is 2.779ns. -------------------------------------------------------------------------------- Paths for end point g_trig_Twinmux[4].i_trig_data/buffer_dinB (SLICE_X41Y17.CX), 1 path -------------------------------------------------------------------------------- Slack (setup path): 0.333ns (requirement - (data path - clock path skew + uncertainty)) Source: g_trig_Twinmux[4].i_trig_data/i_delay_buffer (FF) Destination: g_trig_Twinmux[4].i_trig_data/buffer_dinB (FF) Requirement: 3.112ns Data Path Delay: 2.675ns (Levels of Logic = 0) Clock Path Skew: -0.015ns (0.297 - 0.312) Source Clock: TTCclk8x rising at -0.778ns Destination Clock: TTCclk8x rising at 2.334ns Clock Uncertainty: 0.089ns Clock Uncertainty: 0.089ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.070ns Discrete Jitter (DJ): 0.162ns Phase Error (PE): 0.000ns Maximum Data Path at Slow Process Corner: g_trig_Twinmux[4].i_trig_data/i_delay_buffer to g_trig_Twinmux[4].i_trig_data/buffer_dinB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X48Y17.C Treg 1.496 g_trig_Twinmux[4].i_trig_data/o<1> g_trig_Twinmux[4].i_trig_data/i_delay_buffer SLICE_X41Y17.CX net (fanout=2) 1.065 g_trig_Twinmux[4].i_trig_data/o<1> SLICE_X41Y17.CLK Tdick 0.114 buffer_di<20> g_trig_Twinmux[4].i_trig_data/buffer_dinB ------------------------------------------------- --------------------------- Total 2.675ns (1.610ns logic, 1.065ns route) (60.2% logic, 39.8% route) -------------------------------------------------------------------------------- Paths for end point g_trig_Twinmux[7].i_trig_data/twinmux_ra (SLICE_X35Y33.DX), 1 path -------------------------------------------------------------------------------- Slack (setup path): 0.365ns (requirement - (data path - clock path skew + uncertainty)) Source: g_trig_Twinmux[7].i_trig_data/o_0 (FF) Destination: g_trig_Twinmux[7].i_trig_data/twinmux_ra (FF) Requirement: 3.112ns Data Path Delay: 2.612ns (Levels of Logic = 0) Clock Path Skew: -0.046ns (0.592 - 0.638) Source Clock: TTCclk8x rising at -0.778ns Destination Clock: TTCclk8x rising at 2.334ns Clock Uncertainty: 0.089ns Clock Uncertainty: 0.089ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.070ns Discrete Jitter (DJ): 0.162ns Phase Error (PE): 0.000ns Maximum Data Path at Slow Process Corner: g_trig_Twinmux[7].i_trig_data/o_0 to g_trig_Twinmux[7].i_trig_data/twinmux_ra Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X20Y23.CQ Tcko 0.476 g_trig_Twinmux[7].i_trig_data/o_0 g_trig_Twinmux[7].i_trig_data/o_0 SLICE_X35Y33.DX net (fanout=2) 2.022 g_trig_Twinmux[7].i_trig_data/o_0 SLICE_X35Y33.CLK Tdick 0.114 twinmux_ra<7> g_trig_Twinmux[7].i_trig_data/twinmux_ra ------------------------------------------------- --------------------------- Total 2.612ns (0.590ns logic, 2.022ns route) (22.6% logic, 77.4% route) -------------------------------------------------------------------------------- Paths for end point i_TRIG0/buffer_dinB (SLICE_X22Y34.CX), 1 path -------------------------------------------------------------------------------- Slack (setup path): 0.379ns (requirement - (data path - clock path skew + uncertainty)) Source: i_TRIG0/i_delay_buffer (FF) Destination: i_TRIG0/buffer_dinB (FF) Requirement: 3.112ns Data Path Delay: 2.633ns (Levels of Logic = 0) Clock Path Skew: -0.011ns (0.296 - 0.307) Source Clock: TTCclk8x rising at -0.778ns Destination Clock: TTCclk8x rising at 2.334ns Clock Uncertainty: 0.089ns Clock Uncertainty: 0.089ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.070ns Discrete Jitter (DJ): 0.162ns Phase Error (PE): 0.000ns Maximum Data Path at Slow Process Corner: i_TRIG0/i_delay_buffer to i_TRIG0/buffer_dinB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X22Y42.A Treg 1.459 i_TRIG0/o_2 i_TRIG0/i_delay_buffer SLICE_X22Y34.CX net (fanout=1) 1.089 i_TRIG0/o<1> SLICE_X22Y34.CLK Tdick 0.085 buffer_di<29> i_TRIG0/buffer_dinB ------------------------------------------------- --------------------------- Total 2.633ns (1.544ns logic, 1.089ns route) (58.6% logic, 41.4% route) -------------------------------------------------------------------------------- Hold Paths: TS_TTCclk8x_dcm = PERIOD TIMEGRP "TTCclk8x_dcm" TS_TTC_REFCLK / 8 PHASE -0.778125 ns HIGH 50%; -------------------------------------------------------------------------------- Paths for end point g_trig_Twinmux[10].i_trig_data/g_delay[6].i_delay (SLICE_X10Y26.DX), 1 path -------------------------------------------------------------------------------- Slack (hold path): 0.303ns (requirement - (clock path skew + uncertainty - data path)) Source: g_trig_Twinmux[10].i_trig_data/d_6 (FF) Destination: g_trig_Twinmux[10].i_trig_data/g_delay[6].i_delay (FF) Requirement: 0.000ns Data Path Delay: 0.303ns (Levels of Logic = 0) Clock Path Skew: 0.000ns Source Clock: TTCclk8x rising at -0.778ns Destination Clock: TTCclk8x rising at -0.778ns Clock Uncertainty: 0.000ns Minimum Data Path at Fast Process Corner: g_trig_Twinmux[10].i_trig_data/d_6 to g_trig_Twinmux[10].i_trig_data/g_delay[6].i_delay Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X10Y26.CQ Tcko 0.234 g_trig_Twinmux[10].i_trig_data/d<7> g_trig_Twinmux[10].i_trig_data/d_6 SLICE_X10Y26.DX net (fanout=2) 0.169 g_trig_Twinmux[10].i_trig_data/d<6> SLICE_X10Y26.CLK Tdh (-Th) 0.100 g_trig_Twinmux[10].i_trig_data/d<7> g_trig_Twinmux[10].i_trig_data/g_delay[6].i_delay ------------------------------------------------- --------------------------- Total 0.303ns (0.134ns logic, 0.169ns route) (44.2% logic, 55.8% route) -------------------------------------------------------------------------------- Paths for end point g_trig_Twinmux[9].i_trig_data/g_delay[6].i_delay (SLICE_X18Y20.DX), 1 path -------------------------------------------------------------------------------- Slack (hold path): 0.303ns (requirement - (clock path skew + uncertainty - data path)) Source: g_trig_Twinmux[9].i_trig_data/d_6 (FF) Destination: g_trig_Twinmux[9].i_trig_data/g_delay[6].i_delay (FF) Requirement: 0.000ns Data Path Delay: 0.303ns (Levels of Logic = 0) Clock Path Skew: 0.000ns Source Clock: TTCclk8x rising at -0.778ns Destination Clock: TTCclk8x rising at -0.778ns Clock Uncertainty: 0.000ns Minimum Data Path at Fast Process Corner: g_trig_Twinmux[9].i_trig_data/d_6 to g_trig_Twinmux[9].i_trig_data/g_delay[6].i_delay Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X18Y20.CQ Tcko 0.234 g_trig_Twinmux[9].i_trig_data/d<7> g_trig_Twinmux[9].i_trig_data/d_6 SLICE_X18Y20.DX net (fanout=2) 0.169 g_trig_Twinmux[9].i_trig_data/d<6> SLICE_X18Y20.CLK Tdh (-Th) 0.100 g_trig_Twinmux[9].i_trig_data/d<7> g_trig_Twinmux[9].i_trig_data/g_delay[6].i_delay ------------------------------------------------- --------------------------- Total 0.303ns (0.134ns logic, 0.169ns route) (44.2% logic, 55.8% route) -------------------------------------------------------------------------------- Paths for end point g_trig_Twinmux[8].i_trig_data/g_delay[6].i_delay (SLICE_X22Y20.DX), 1 path -------------------------------------------------------------------------------- Slack (hold path): 0.303ns (requirement - (clock path skew + uncertainty - data path)) Source: g_trig_Twinmux[8].i_trig_data/d_6 (FF) Destination: g_trig_Twinmux[8].i_trig_data/g_delay[6].i_delay (FF) Requirement: 0.000ns Data Path Delay: 0.303ns (Levels of Logic = 0) Clock Path Skew: 0.000ns Source Clock: TTCclk8x rising at -0.778ns Destination Clock: TTCclk8x rising at -0.778ns Clock Uncertainty: 0.000ns Minimum Data Path at Fast Process Corner: g_trig_Twinmux[8].i_trig_data/d_6 to g_trig_Twinmux[8].i_trig_data/g_delay[6].i_delay Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X22Y20.CQ Tcko 0.234 g_trig_Twinmux[8].i_trig_data/d<7> g_trig_Twinmux[8].i_trig_data/d_6 SLICE_X22Y20.DX net (fanout=2) 0.169 g_trig_Twinmux[8].i_trig_data/d<6> SLICE_X22Y20.CLK Tdh (-Th) 0.100 g_trig_Twinmux[8].i_trig_data/d<7> g_trig_Twinmux[8].i_trig_data/g_delay[6].i_delay ------------------------------------------------- --------------------------- Total 0.303ns (0.134ns logic, 0.169ns route) (44.2% logic, 55.8% route) -------------------------------------------------------------------------------- Component Switching Limit Checks: TS_TTCclk8x_dcm = PERIOD TIMEGRP "TTCclk8x_dcm" TS_TTC_REFCLK / 8 PHASE -0.778125 ns HIGH 50%; -------------------------------------------------------------------------------- Slack: 0.446ns (period - min period limit) Period: 3.112ns Min period limit: 2.666ns (375.094MHz) (Tbcper_I) Physical resource: i_TTCclk8x/I0 Logical resource: i_TTCclk8x/I0 Location pin: BUFGMUX_X2Y12.I0 Clock network: TTCclk8x_dcm -------------------------------------------------------------------------------- Slack: 1.713ns (period - min period limit) Period: 3.112ns Min period limit: 1.399ns (714.796MHz) (Tcp) Physical resource: g_trig_Twinmux[11].i_trig_data/d<1>/CLK Logical resource: g_trig_Twinmux[11].i_trig_data/i_delay0/CLK Location pin: SLICE_X6Y17.CLK Clock network: TTCclk8x -------------------------------------------------------------------------------- Slack: 1.713ns (period - min period limit) Period: 3.112ns Min period limit: 1.399ns (714.796MHz) (Tcp) Physical resource: g_trig_Twinmux[10].i_trig_data/d<7>/CLK Logical resource: g_trig_Twinmux[10].i_trig_data/g_delay[3].i_delay/CLK Location pin: SLICE_X10Y26.CLK Clock network: TTCclk8x -------------------------------------------------------------------------------- ================================================================================ Timing constraint: TS_TTCclkOut_dcm_0_ = PERIOD TIMEGRP "TTCclkOut_dcm_0_" TS_TTCclk_p PHASE 3.40429688 ns HIGH 50%; For more information, see Period Analysis in the Timing Closure User Guide (UG612). 0 paths analyzed, 0 endpoints analyzed, 0 failing endpoints 0 timing errors detected. (0 component switching limit errors) Minimum period is 2.666ns. -------------------------------------------------------------------------------- Component Switching Limit Checks: TS_TTCclkOut_dcm_0_ = PERIOD TIMEGRP "TTCclkOut_dcm_0_" TS_TTCclk_p PHASE 3.40429688 ns HIGH 50%; -------------------------------------------------------------------------------- Slack: 22.234ns (period - min period limit) Period: 24.900ns Min period limit: 2.666ns (375.094MHz) (Tbcper_I) Physical resource: g_TTCclkOut[0].i_TTCclk_buf/I0 Logical resource: g_TTCclkOut[0].i_TTCclk_buf/I0 Location pin: BUFGMUX_X2Y1.I0 Clock network: TTCclkOut_dcm<0> -------------------------------------------------------------------------------- Slack: 22.651ns (period - min period limit) Period: 24.900ns Min period limit: 2.249ns (444.642MHz) (Tockper) Physical resource: TxFB<1>/CLK0 Logical resource: g_TxFB[0].i_TxFB/CK0 Location pin: OLOGIC_X20Y3.CLK0 Clock network: TTCclkOut<0> -------------------------------------------------------------------------------- Slack: 22.651ns (period - min period limit) Period: 24.900ns Min period limit: 2.249ns (444.642MHz) (Tockper) Physical resource: TxFB<2>/CLK0 Logical resource: g_TxFB[1].i_TxFB/CK0 Location pin: OLOGIC_X19Y1.CLK0 Clock network: TTCclkOut<0> -------------------------------------------------------------------------------- ================================================================================ Timing constraint: TS_TTCclkOut_dcm_1_ = PERIOD TIMEGRP "TTCclkOut_dcm_1_" TS_TTCclk_p PHASE 3.40429688 ns HIGH 50%; For more information, see Period Analysis in the Timing Closure User Guide (UG612). 0 paths analyzed, 0 endpoints analyzed, 0 failing endpoints 0 timing errors detected. (0 component switching limit errors) Minimum period is 2.666ns. -------------------------------------------------------------------------------- Component Switching Limit Checks: TS_TTCclkOut_dcm_1_ = PERIOD TIMEGRP "TTCclkOut_dcm_1_" TS_TTCclk_p PHASE 3.40429688 ns HIGH 50%; -------------------------------------------------------------------------------- Slack: 22.234ns (period - min period limit) Period: 24.900ns Min period limit: 2.666ns (375.094MHz) (Tbcper_I) Physical resource: g_TTCclkOut[1].i_TTCclk_buf/I0 Logical resource: g_TTCclkOut[1].i_TTCclk_buf/I0 Location pin: BUFGMUX_X2Y4.I0 Clock network: TTCclkOut_dcm<1> -------------------------------------------------------------------------------- Slack: 22.651ns (period - min period limit) Period: 24.900ns Min period limit: 2.249ns (444.642MHz) (Tockper) Physical resource: TxFB<4>/CLK0 Logical resource: g_TxFB[3].i_TxFB/CK0 Location pin: OLOGIC_X16Y3.CLK0 Clock network: TTCclkOut<1> -------------------------------------------------------------------------------- Slack: 22.651ns (period - min period limit) Period: 24.900ns Min period limit: 2.249ns (444.642MHz) (Tockper) Physical resource: TxFB<5>/CLK0 Logical resource: g_TxFB[4].i_TxFB/CK0 Location pin: OLOGIC_X14Y3.CLK0 Clock network: TTCclkOut<1> -------------------------------------------------------------------------------- ================================================================================ Timing constraint: TS_TTCclkOut_dcm_2_ = PERIOD TIMEGRP "TTCclkOut_dcm_2_" TS_TTCclk_p PHASE 3.40429688 ns HIGH 50%; For more information, see Period Analysis in the Timing Closure User Guide (UG612). 0 paths analyzed, 0 endpoints analyzed, 0 failing endpoints 0 timing errors detected. (0 component switching limit errors) Minimum period is 2.666ns. -------------------------------------------------------------------------------- Component Switching Limit Checks: TS_TTCclkOut_dcm_2_ = PERIOD TIMEGRP "TTCclkOut_dcm_2_" TS_TTCclk_p PHASE 3.40429688 ns HIGH 50%; -------------------------------------------------------------------------------- Slack: 22.234ns (period - min period limit) Period: 24.900ns Min period limit: 2.666ns (375.094MHz) (Tbcper_I) Physical resource: g_TTCclkOut[2].i_TTCclk_buf/I0 Logical resource: g_TTCclkOut[2].i_TTCclk_buf/I0 Location pin: BUFGMUX_X2Y2.I0 Clock network: TTCclkOut_dcm<2> -------------------------------------------------------------------------------- Slack: 22.651ns (period - min period limit) Period: 24.900ns Min period limit: 2.249ns (444.642MHz) (Tockper) Physical resource: TxFB<7>/CLK0 Logical resource: g_TxFB[6].i_TxFB/CK0 Location pin: OLOGIC_X13Y3.CLK0 Clock network: TTCclkOut<2> -------------------------------------------------------------------------------- Slack: 22.651ns (period - min period limit) Period: 24.900ns Min period limit: 2.249ns (444.642MHz) (Tockper) Physical resource: TxFB<8>/CLK0 Logical resource: g_TxFB[7].i_TxFB/CK0 Location pin: OLOGIC_X12Y3.CLK0 Clock network: TTCclkOut<2> -------------------------------------------------------------------------------- ================================================================================ Timing constraint: TS_TTCclkOut_dcm_3_ = PERIOD TIMEGRP "TTCclkOut_dcm_3_" TS_TTCclk_p PHASE 3.40429688 ns HIGH 50%; For more information, see Period Analysis in the Timing Closure User Guide (UG612). 0 paths analyzed, 0 endpoints analyzed, 0 failing endpoints 0 timing errors detected. (0 component switching limit errors) Minimum period is 2.666ns. -------------------------------------------------------------------------------- Component Switching Limit Checks: TS_TTCclkOut_dcm_3_ = PERIOD TIMEGRP "TTCclkOut_dcm_3_" TS_TTCclk_p PHASE 3.40429688 ns HIGH 50%; -------------------------------------------------------------------------------- Slack: 22.234ns (period - min period limit) Period: 24.900ns Min period limit: 2.666ns (375.094MHz) (Tbcper_I) Physical resource: g_TTCclkOut[3].i_TTCclk_buf/I0 Logical resource: g_TTCclkOut[3].i_TTCclk_buf/I0 Location pin: BUFGMUX_X3Y13.I0 Clock network: TTCclkOut_dcm<3> -------------------------------------------------------------------------------- Slack: 22.651ns (period - min period limit) Period: 24.900ns Min period limit: 2.249ns (444.642MHz) (Tockper) Physical resource: TxFB<10>/CLK0 Logical resource: g_TxFB[9].i_TxFB/CK0 Location pin: OLOGIC_X8Y1.CLK0 Clock network: TTCclkOut<3> -------------------------------------------------------------------------------- Slack: 22.651ns (period - min period limit) Period: 24.900ns Min period limit: 2.249ns (444.642MHz) (Tockper) Physical resource: TxFB<11>/CLK0 Logical resource: g_TxFB[10].i_TxFB/CK0 Location pin: OLOGIC_X7Y1.CLK0 Clock network: TTCclkOut<3> -------------------------------------------------------------------------------- ================================================================================ Timing constraint: TS_TO_TTC_data_1_LD = MAXDELAY TO TIMEGRP "TO_TTC_data_1_LD" TS_TTCclk_dcm DATAPATHONLY; For more information, see From:To (Multicycle) Analysis in the Timing Closure User Guide (UG612). 2 paths analyzed, 1 endpoint analyzed, 0 failing endpoints 0 timing errors detected. (0 setup errors, 0 hold errors) Maximum delay is 19.539ns. -------------------------------------------------------------------------------- Paths for end point TTC_data_1_LD (SLICE_X43Y70.CLK), 2 paths -------------------------------------------------------------------------------- Slack (slowest paths): 5.361ns (requirement - data path) Source: V6_DONE (PAD) Destination: TTC_data_1_LD (LATCH) Requirement: 24.900ns Data Path Delay: 19.539ns (Levels of Logic = 4) Maximum Data Path at Slow Process Corner: V6_DONE to TTC_data_1_LD Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- C19.I Tiopi 1.070 V6_DONE V6_DONE V6_DONE_IBUF ProtoComp390.IINV ProtoComp390.IMUX SLICE_X14Y71.B4 net (fanout=7) 10.197 V6_DONE_inv SLICE_X14Y71.BMUX Tilo 0.326 i_ipbus/udp_if/RARP_block/rndm<3> rst_TTC1 PLL_ADV_X0Y2.RST net (fanout=5) 2.678 rst_TTC PLL_ADV_X0Y2.LOCKED Tplldo_LOCKED 1.300 i_DCM_TTCclk/PLL_ADV i_DCM_TTCclk/PLL_ADV SLICE_X27Y77.A4 net (fanout=1) 1.369 TTC_lock SLICE_X27Y77.A Tilo 0.259 TTC_data_1_P_1 TTC_lock_inv1_INV_0 SLICE_X43Y70.CLK net (fanout=2) 2.340 TTC_lock_inv ------------------------------------------------- --------------------------- Total 19.539ns (2.955ns logic, 16.584ns route) (15.1% logic, 84.9% route) -------------------------------------------------------------------------------- Slack (slowest paths): 14.175ns (requirement - data path) Source: i_rst_TTC (FF) Destination: TTC_data_1_LD (LATCH) Requirement: 24.900ns Data Path Delay: 10.725ns (Levels of Logic = 3) Source Clock: TTC_REFCLK_in rising at 0.000ns Maximum Data Path at Slow Process Corner: i_rst_TTC to TTC_data_1_LD Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X10Y69.A Treg 1.459 rst_TTC1 i_rst_TTC SLICE_X14Y71.B2 net (fanout=1) 0.994 rst_TTC1 SLICE_X14Y71.BMUX Tilo 0.326 i_ipbus/udp_if/RARP_block/rndm<3> rst_TTC1 PLL_ADV_X0Y2.RST net (fanout=5) 2.678 rst_TTC PLL_ADV_X0Y2.LOCKED Tplldo_LOCKED 1.300 i_DCM_TTCclk/PLL_ADV i_DCM_TTCclk/PLL_ADV SLICE_X27Y77.A4 net (fanout=1) 1.369 TTC_lock SLICE_X27Y77.A Tilo 0.259 TTC_data_1_P_1 TTC_lock_inv1_INV_0 SLICE_X43Y70.CLK net (fanout=2) 2.340 TTC_lock_inv ------------------------------------------------- --------------------------- Total 10.725ns (3.344ns logic, 7.381ns route) (31.2% logic, 68.8% route) -------------------------------------------------------------------------------- Hold Paths: TS_TO_TTC_data_1_LD = MAXDELAY TO TIMEGRP "TO_TTC_data_1_LD" TS_TTCclk_dcm DATAPATHONLY; -------------------------------------------------------------------------------- Paths for end point TTC_data_1_LD (SLICE_X43Y70.CLK), 2 paths -------------------------------------------------------------------------------- Delay (fastest path): 5.481ns (data path) Source: i_rst_TTC (FF) Destination: TTC_data_1_LD (LATCH) Data Path Delay: 5.481ns (Levels of Logic = 3) Source Clock: TTC_REFCLK_in rising at 0.000ns Minimum Data Path at Fast Process Corner: i_rst_TTC to TTC_data_1_LD Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X10Y69.A Treg 0.539 rst_TTC1 i_rst_TTC SLICE_X14Y71.B2 net (fanout=1) 0.488 rst_TTC1 SLICE_X14Y71.BMUX Tilo 0.191 i_ipbus/udp_if/RARP_block/rndm<3> rst_TTC1 PLL_ADV_X0Y2.RST net (fanout=5) 1.470 rst_TTC PLL_ADV_X0Y2.LOCKED Tplldo_LOCKED 0.541 i_DCM_TTCclk/PLL_ADV i_DCM_TTCclk/PLL_ADV SLICE_X27Y77.A4 net (fanout=1) 0.681 TTC_lock SLICE_X27Y77.A Tilo 0.156 TTC_data_1_P_1 TTC_lock_inv1_INV_0 SLICE_X43Y70.CLK net (fanout=2) 1.415 TTC_lock_inv ------------------------------------------------- --------------------------- Total 5.481ns (1.427ns logic, 4.054ns route) (26.0% logic, 74.0% route) -------------------------------------------------------------------------------- Delay (fastest path): 10.375ns (data path) Source: V6_DONE (PAD) Destination: TTC_data_1_LD (LATCH) Data Path Delay: 10.375ns (Levels of Logic = 4) Minimum Data Path at Fast Process Corner: V6_DONE to TTC_data_1_LD Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- C19.I Tiopi 0.331 V6_DONE V6_DONE V6_DONE_IBUF ProtoComp390.IINV ProtoComp390.IMUX SLICE_X14Y71.B4 net (fanout=7) 5.590 V6_DONE_inv SLICE_X14Y71.BMUX Tilo 0.191 i_ipbus/udp_if/RARP_block/rndm<3> rst_TTC1 PLL_ADV_X0Y2.RST net (fanout=5) 1.470 rst_TTC PLL_ADV_X0Y2.LOCKED Tplldo_LOCKED 0.541 i_DCM_TTCclk/PLL_ADV i_DCM_TTCclk/PLL_ADV SLICE_X27Y77.A4 net (fanout=1) 0.681 TTC_lock SLICE_X27Y77.A Tilo 0.156 TTC_data_1_P_1 TTC_lock_inv1_INV_0 SLICE_X43Y70.CLK net (fanout=2) 1.415 TTC_lock_inv ------------------------------------------------- --------------------------- Total 10.375ns (1.219ns logic, 9.156ns route) (11.7% logic, 88.3% route) -------------------------------------------------------------------------------- ================================================================================ Timing constraint: TS_TO_CRC_0_LD = MAXDELAY TO TIMEGRP "TO_CRC_0_LD" TS_ipb_clk DATAPATHONLY; For more information, see From:To (Multicycle) Analysis in the Timing Closure User Guide (UG612). 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints 0 timing errors detected. (0 setup errors, 0 hold errors) Maximum delay is 3.826ns. -------------------------------------------------------------------------------- Paths for end point CRC_0_LD (SLICE_X35Y47.CLK), 1 path -------------------------------------------------------------------------------- Slack (slowest paths): 28.174ns (requirement - data path) Source: reprogV6 (FF) Destination: CRC_0_LD (LATCH) Requirement: 32.000ns Data Path Delay: 3.826ns (Levels of Logic = 1) Source Clock: ipb_clk rising at 0.000ns Maximum Data Path at Slow Process Corner: reprogV6 to CRC_0_LD Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X34Y48.CMUX Tshcko 0.576 i_ipbus/trans_in_udp_busy reprogV6 SLICE_X33Y52.A3 net (fanout=5) 1.047 reprogV6 SLICE_X33Y52.A Tilo 0.259 sysclk_dcm_locked_reprogV6_OR_106_o sysclk_dcm_locked_reprogV6_OR_106_o1 SLICE_X35Y47.CLK net (fanout=30) 1.944 sysclk_dcm_locked_reprogV6_OR_106_o ------------------------------------------------- --------------------------- Total 3.826ns (0.835ns logic, 2.991ns route) (21.8% logic, 78.2% route) -------------------------------------------------------------------------------- Hold Paths: TS_TO_CRC_0_LD = MAXDELAY TO TIMEGRP "TO_CRC_0_LD" TS_ipb_clk DATAPATHONLY; -------------------------------------------------------------------------------- Paths for end point CRC_0_LD (SLICE_X35Y47.CLK), 1 path -------------------------------------------------------------------------------- Delay (fastest path): 1.968ns (data path) Source: reprogV6 (FF) Destination: CRC_0_LD (LATCH) Data Path Delay: 1.968ns (Levels of Logic = 1) Source Clock: ipb_clk rising at 0.000ns Minimum Data Path at Fast Process Corner: reprogV6 to CRC_0_LD Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- SLICE_X34Y48.CMUX Tshcko 0.266 i_ipbus/trans_in_udp_busy reprogV6 SLICE_X33Y52.A3 net (fanout=5) 0.535 reprogV6 SLICE_X33Y52.A Tilo 0.156 sysclk_dcm_locked_reprogV6_OR_106_o sysclk_dcm_locked_reprogV6_OR_106_o1 SLICE_X35Y47.CLK net (fanout=30) 1.011 sysclk_dcm_locked_reprogV6_OR_106_o ------------------------------------------------- --------------------------- Total 1.968ns (0.422ns logic, 1.546ns route) (21.4% logic, 78.6% route) -------------------------------------------------------------------------------- ================================================================================ Timing constraint: COMP "TTCdata_p" OFFSET = IN 10 ns VALID 7 ns BEFORE COMP "TTC_REFCLK" "RISING"; For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints 0 timing errors detected. (0 setup errors, 0 hold errors) Minimum allowable offset is 7.333ns. -------------------------------------------------------------------------------- Paths for end point i_TTC_data (ILOGIC_X0Y117.D), 1 path -------------------------------------------------------------------------------- Slack (setup path): 2.667ns (requirement - (data path - clock path - clock arrival + uncertainty)) Source: TTCdata_p (PAD) Destination: i_TTC_data (FF) Destination Clock: TTCclk rising at -3.890ns Requirement: 10.000ns Data Path Delay: 3.134ns (Levels of Logic = 2) Clock Path Delay: -0.014ns (Levels of Logic = 4) Clock Uncertainty: 0.295ns Clock Uncertainty: 0.295ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.050ns Discrete Jitter (DJ): 0.233ns Phase Error (PE): 0.175ns Maximum Data Path at Slow Process Corner: TTCdata_p to i_TTC_data Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- C1.I Tiopi 1.387 TTCdata_p TTCdata_p i_TTCdata/IBUFDS ProtoComp373.IMUX.3 ILOGIC_X0Y117.D net (fanout=1) 0.399 TTCdata_in ILOGIC_X0Y117.CLK0 Tidock 1.348 TTCdata<1> ProtoComp398.D2OFFBYP_SRC i_TTC_data ------------------------------------------------- --------------------------- Total 3.134ns (2.735ns logic, 0.399ns route) (87.3% logic, 12.7% route) Minimum Clock Path at Slow Process Corner: TTC_REFCLK to i_TTC_data Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- L4.I Tiopi 1.344 TTC_REFCLK TTC_REFCLK i_TTC_REFCLK_in ProtoComp378.IMUX BUFIO2_X0Y23.I net (fanout=2) 0.373 TTC_REFCLK_in BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_4 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_4 PLL_ADV_X0Y2.CLKIN2 net (fanout=1) 0.762 i_DCM_TTCclk/PLL_ADV_ML_NEW_DIVCLK PLL_ADV_X0Y2.CLKOUT0 Tpllcko_CLK -5.398 i_DCM_TTCclk/PLL_ADV i_DCM_TTCclk/PLL_ADV BUFGMUX_X3Y6.I0 net (fanout=1) 0.560 TTCclk_dcm BUFGMUX_X3Y6.O Tgi0o 0.197 i_TTCclk i_TTCclk ILOGIC_X0Y117.CLK0 net (fanout=193) 1.969 TTCclk ------------------------------------------------- --------------------------- Total -0.014ns (-3.678ns logic, 3.664ns route) -------------------------------------------------------------------------------- Hold Paths: COMP "TTCdata_p" OFFSET = IN 10 ns VALID 7 ns BEFORE COMP "TTC_REFCLK" "RISING"; -------------------------------------------------------------------------------- Paths for end point i_TTC_data (ILOGIC_X0Y117.D), 1 path -------------------------------------------------------------------------------- Slack (hold path): 1.915ns (requirement - (clock path + clock arrival + uncertainty - data path)) Source: TTCdata_p (PAD) Destination: i_TTC_data (FF) Destination Clock: TTCclk rising at -3.890ns Requirement: -3.000ns Data Path Delay: 1.300ns (Levels of Logic = 2) Clock Path Delay: -0.020ns (Levels of Logic = 4) Clock Uncertainty: 0.295ns Clock Uncertainty: 0.295ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.050ns Discrete Jitter (DJ): 0.233ns Phase Error (PE): 0.175ns Minimum Data Path at Fast Process Corner: TTCdata_p to i_TTC_data Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- C1.I Tiopi 0.618 TTCdata_p TTCdata_p i_TTCdata/IBUFDS ProtoComp373.IMUX.3 ILOGIC_X0Y117.D net (fanout=1) 0.181 TTCdata_in ILOGIC_X0Y117.CLK0 Tiockd (-Th) -0.501 TTCdata<1> ProtoComp398.D2OFFBYP_SRC i_TTC_data ------------------------------------------------- --------------------------- Total 1.300ns (1.119ns logic, 0.181ns route) (86.1% logic, 13.9% route) Maximum Clock Path at Fast Process Corner: TTC_REFCLK to i_TTC_data Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- L4.I Tiopi 0.887 TTC_REFCLK TTC_REFCLK i_TTC_REFCLK_in ProtoComp378.IMUX BUFIO2_X0Y23.I net (fanout=2) 0.235 TTC_REFCLK_in BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_4 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_4 PLL_ADV_X0Y2.CLKIN2 net (fanout=1) 0.325 i_DCM_TTCclk/PLL_ADV_ML_NEW_DIVCLK PLL_ADV_X0Y2.CLKOUT0 Tpllcko_CLK -2.866 i_DCM_TTCclk/PLL_ADV i_DCM_TTCclk/PLL_ADV BUFGMUX_X3Y6.I0 net (fanout=1) 0.212 TTCclk_dcm BUFGMUX_X3Y6.O Tgi0o 0.063 i_TTCclk i_TTCclk ILOGIC_X0Y117.CLK0 net (fanout=193) 0.994 TTCclk ------------------------------------------------- --------------------------- Total -0.020ns (-1.786ns logic, 1.766ns route) -------------------------------------------------------------------------------- ================================================================================ Timing constraint: COMP "TTCdata_p" OFFSET = IN 10 ns VALID 7 ns BEFORE COMP "TTC_REFCLK" "FALLING"; For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints 0 timing errors detected. (0 setup errors, 0 hold errors) Minimum allowable offset is 7.393ns. -------------------------------------------------------------------------------- Paths for end point i_TTC_data (ILOGIC_X0Y117.D), 1 path -------------------------------------------------------------------------------- Slack (setup path): 2.607ns (requirement - (data path - clock path - clock arrival + uncertainty)) Source: TTCdata_p (PAD) Destination: i_TTC_data (FF) Destination Clock: TTCclk falling at -3.890ns Requirement: 10.000ns Data Path Delay: 3.134ns (Levels of Logic = 2) Clock Path Delay: -0.074ns (Levels of Logic = 4) Clock Uncertainty: 0.295ns Clock Uncertainty: 0.295ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.050ns Discrete Jitter (DJ): 0.233ns Phase Error (PE): 0.175ns Maximum Data Path at Slow Process Corner: TTCdata_p to i_TTC_data Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- C1.I Tiopi 1.387 TTCdata_p TTCdata_p i_TTCdata/IBUFDS ProtoComp373.IMUX.3 ILOGIC_X0Y117.D net (fanout=1) 0.399 TTCdata_in ILOGIC_X0Y117.CLK1 Tidock 1.348 TTCdata<1> ProtoComp398.D2OFFBYP_SRC i_TTC_data ------------------------------------------------- --------------------------- Total 3.134ns (2.735ns logic, 0.399ns route) (87.3% logic, 12.7% route) Minimum Clock Path at Slow Process Corner: TTC_REFCLK to i_TTC_data Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- L4.I Tiopi 1.344 TTC_REFCLK TTC_REFCLK i_TTC_REFCLK_in ProtoComp378.IMUX BUFIO2_X0Y23.I net (fanout=2) 0.373 TTC_REFCLK_in BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_4 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_4 PLL_ADV_X0Y2.CLKIN2 net (fanout=1) 0.762 i_DCM_TTCclk/PLL_ADV_ML_NEW_DIVCLK PLL_ADV_X0Y2.CLKOUT0 Tpllcko_CLK -5.398 i_DCM_TTCclk/PLL_ADV i_DCM_TTCclk/PLL_ADV BUFGMUX_X3Y6.I0 net (fanout=1) 0.560 TTCclk_dcm BUFGMUX_X3Y6.O Tgi0o 0.197 i_TTCclk i_TTCclk ILOGIC_X0Y117.CLK1 net (fanout=193) 1.909 TTCclk ------------------------------------------------- --------------------------- Total -0.074ns (-3.678ns logic, 3.604ns route) -------------------------------------------------------------------------------- Hold Paths: COMP "TTCdata_p" OFFSET = IN 10 ns VALID 7 ns BEFORE COMP "TTC_REFCLK" "FALLING"; -------------------------------------------------------------------------------- Paths for end point i_TTC_data (ILOGIC_X0Y117.D), 1 path -------------------------------------------------------------------------------- Slack (hold path): 1.990ns (requirement - (clock path + clock arrival + uncertainty - data path)) Source: TTCdata_p (PAD) Destination: i_TTC_data (FF) Destination Clock: TTCclk falling at -3.890ns Requirement: -3.000ns Data Path Delay: 1.300ns (Levels of Logic = 2) Clock Path Delay: -0.095ns (Levels of Logic = 4) Clock Uncertainty: 0.295ns Clock Uncertainty: 0.295ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.050ns Discrete Jitter (DJ): 0.233ns Phase Error (PE): 0.175ns Minimum Data Path at Fast Process Corner: TTCdata_p to i_TTC_data Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- C1.I Tiopi 0.618 TTCdata_p TTCdata_p i_TTCdata/IBUFDS ProtoComp373.IMUX.3 ILOGIC_X0Y117.D net (fanout=1) 0.181 TTCdata_in ILOGIC_X0Y117.CLK1 Tiockd (-Th) -0.501 TTCdata<1> ProtoComp398.D2OFFBYP_SRC i_TTC_data ------------------------------------------------- --------------------------- Total 1.300ns (1.119ns logic, 0.181ns route) (86.1% logic, 13.9% route) Maximum Clock Path at Fast Process Corner: TTC_REFCLK to i_TTC_data Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- L4.I Tiopi 0.887 TTC_REFCLK TTC_REFCLK i_TTC_REFCLK_in ProtoComp378.IMUX BUFIO2_X0Y23.I net (fanout=2) 0.235 TTC_REFCLK_in BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_4 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_4 PLL_ADV_X0Y2.CLKIN2 net (fanout=1) 0.325 i_DCM_TTCclk/PLL_ADV_ML_NEW_DIVCLK PLL_ADV_X0Y2.CLKOUT0 Tpllcko_CLK -2.866 i_DCM_TTCclk/PLL_ADV i_DCM_TTCclk/PLL_ADV BUFGMUX_X3Y6.I0 net (fanout=1) 0.212 TTCclk_dcm BUFGMUX_X3Y6.O Tgi0o 0.063 i_TTCclk i_TTCclk ILOGIC_X0Y117.CLK1 net (fanout=193) 0.919 TTCclk ------------------------------------------------- --------------------------- Total -0.095ns (-1.786ns logic, 1.691ns route) -------------------------------------------------------------------------------- ================================================================================ Timing constraint: OFFSET = OUT 7.2 ns AFTER COMP "TTC_CLK_p<0>" "RISING"; For more information, see Offset Out Analysis in the Timing Closure User Guide (UG612). 12 paths analyzed, 6 endpoints analyzed, 0 failing endpoints 0 timing errors detected. Minimum allowable offset is 7.078ns. -------------------------------------------------------------------------------- Paths for end point TxFB_n<3> (AB16.PAD), 2 paths -------------------------------------------------------------------------------- Slack (slowest paths): 0.122ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[2].i_TxFB (FF) Destination: TxFB_n<3> (PAD) Source Clock: TTCclkOut<0> rising at 3.404ns Requirement: 7.200ns Data Path Delay: 3.532ns (Levels of Logic = 2) Clock Path Delay: -0.133ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<0> to g_TxFB[2].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- M20.I Tiopi 1.387 TTC_CLK_p<0> TTC_CLK_p<0> g_TTCclkOut[0].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.4 BUFIO2_X3Y12.I net (fanout=1) 0.628 TTCclk_in<0> BUFIO2_X3Y12.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_0 SP6_BUFIO_INSERT_ML_BUFIO2_0 DCM_X0Y3.CLKIN net (fanout=1) 1.262 g_TTCclkOut[0].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y3.CLK0 Tdmcko_CLK -7.091 g_TTCclkOut[0].i_DCM_TTCclkOut g_TTCclkOut[0].i_DCM_TTCclkOut BUFGMUX_X2Y1.I0 net (fanout=1) 0.900 TTCclkOut_dcm<0> BUFGMUX_X2Y1.O Tgi0o 0.209 g_TTCclkOut[0].i_TTCclk_buf g_TTCclkOut[0].i_TTCclk_buf OLOGIC_X17Y3.CLK0 net (fanout=7) 2.382 TTCclkOut<0> ------------------------------------------------- --------------------------- Total -0.133ns (-5.305ns logic, 5.172ns route) Maximum Data Path at Slow Process Corner: g_TxFB[2].i_TxFB to TxFB_n<3> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X17Y3.OQ Tockq 1.158 TxFB<3> g_TxFB[2].i_TxFB AA16.O net (fanout=1) 0.438 TxFB<3> AA16.DIFFO_OUT Tiood 1.909 TxFB_p<3> g_FB[3].i_TxFB/OBUFTDS AB16.DIFFO_IN net (fanout=1) 0.000 g_FB[3].i_TxFB/SLAVEBUF.DIFFOUT AB16.PAD Tiodop 0.027 TxFB_n<3> ProtoComp374.DIFFO_INUSED.6 TxFB_n<3> ------------------------------------------------- --------------------------- Total 3.532ns (3.094ns logic, 0.438ns route) (87.6% logic, 12.4% route) -------------------------------------------------------------------------------- Slack (slowest paths): 0.540ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[2].i_TxFB_T (FF) Destination: TxFB_n<3> (PAD) Source Clock: TTCclkOut<0> rising at 3.404ns Requirement: 7.200ns Data Path Delay: 3.114ns (Levels of Logic = 2) Clock Path Delay: -0.133ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<0> to g_TxFB[2].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- M20.I Tiopi 1.387 TTC_CLK_p<0> TTC_CLK_p<0> g_TTCclkOut[0].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.4 BUFIO2_X3Y12.I net (fanout=1) 0.628 TTCclk_in<0> BUFIO2_X3Y12.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_0 SP6_BUFIO_INSERT_ML_BUFIO2_0 DCM_X0Y3.CLKIN net (fanout=1) 1.262 g_TTCclkOut[0].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y3.CLK0 Tdmcko_CLK -7.091 g_TTCclkOut[0].i_DCM_TTCclkOut g_TTCclkOut[0].i_DCM_TTCclkOut BUFGMUX_X2Y1.I0 net (fanout=1) 0.900 TTCclkOut_dcm<0> BUFGMUX_X2Y1.O Tgi0o 0.209 g_TTCclkOut[0].i_TTCclk_buf g_TTCclkOut[0].i_TTCclk_buf OLOGIC_X17Y3.CLK0 net (fanout=7) 2.382 TTCclkOut<0> ------------------------------------------------- --------------------------- Total -0.133ns (-5.305ns logic, 5.172ns route) Maximum Data Path at Slow Process Corner: g_TxFB[2].i_TxFB_T to TxFB_n<3> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X17Y3.TQ Tockq 0.740 TxFB<3> g_TxFB[2].i_TxFB_T AA16.T net (fanout=1) 0.438 TxFB_T<3> AA16.DIFFO_OUT Tiotd 1.909 TxFB_p<3> g_FB[3].i_TxFB/OBUFTDS AB16.DIFFO_IN net (fanout=1) 0.000 g_FB[3].i_TxFB/SLAVEBUF.DIFFOUT AB16.PAD Tiodop 0.027 TxFB_n<3> ProtoComp374.DIFFO_INUSED.6 TxFB_n<3> ------------------------------------------------- --------------------------- Total 3.114ns (2.676ns logic, 0.438ns route) (85.9% logic, 14.1% route) -------------------------------------------------------------------------------- Paths for end point TxFB_n<1> (AB18.PAD), 2 paths -------------------------------------------------------------------------------- Slack (slowest paths): 0.124ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[0].i_TxFB (FF) Destination: TxFB_n<1> (PAD) Source Clock: TTCclkOut<0> rising at 3.404ns Requirement: 7.200ns Data Path Delay: 3.532ns (Levels of Logic = 2) Clock Path Delay: -0.135ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<0> to g_TxFB[0].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- M20.I Tiopi 1.387 TTC_CLK_p<0> TTC_CLK_p<0> g_TTCclkOut[0].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.4 BUFIO2_X3Y12.I net (fanout=1) 0.628 TTCclk_in<0> BUFIO2_X3Y12.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_0 SP6_BUFIO_INSERT_ML_BUFIO2_0 DCM_X0Y3.CLKIN net (fanout=1) 1.262 g_TTCclkOut[0].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y3.CLK0 Tdmcko_CLK -7.091 g_TTCclkOut[0].i_DCM_TTCclkOut g_TTCclkOut[0].i_DCM_TTCclkOut BUFGMUX_X2Y1.I0 net (fanout=1) 0.900 TTCclkOut_dcm<0> BUFGMUX_X2Y1.O Tgi0o 0.209 g_TTCclkOut[0].i_TTCclk_buf g_TTCclkOut[0].i_TTCclk_buf OLOGIC_X20Y3.CLK0 net (fanout=7) 2.380 TTCclkOut<0> ------------------------------------------------- --------------------------- Total -0.135ns (-5.305ns logic, 5.170ns route) Maximum Data Path at Slow Process Corner: g_TxFB[0].i_TxFB to TxFB_n<1> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X20Y3.OQ Tockq 1.158 TxFB<1> g_TxFB[0].i_TxFB AA18.O net (fanout=1) 0.438 TxFB<1> AA18.DIFFO_OUT Tiood 1.909 TxFB_p<1> g_FB[1].i_TxFB/OBUFTDS AB18.DIFFO_IN net (fanout=1) 0.000 g_FB[1].i_TxFB/SLAVEBUF.DIFFOUT AB18.PAD Tiodop 0.027 TxFB_n<1> ProtoComp374.DIFFO_INUSED.4 TxFB_n<1> ------------------------------------------------- --------------------------- Total 3.532ns (3.094ns logic, 0.438ns route) (87.6% logic, 12.4% route) -------------------------------------------------------------------------------- Slack (slowest paths): 0.542ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[0].i_TxFB_T (FF) Destination: TxFB_n<1> (PAD) Source Clock: TTCclkOut<0> rising at 3.404ns Requirement: 7.200ns Data Path Delay: 3.114ns (Levels of Logic = 2) Clock Path Delay: -0.135ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<0> to g_TxFB[0].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- M20.I Tiopi 1.387 TTC_CLK_p<0> TTC_CLK_p<0> g_TTCclkOut[0].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.4 BUFIO2_X3Y12.I net (fanout=1) 0.628 TTCclk_in<0> BUFIO2_X3Y12.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_0 SP6_BUFIO_INSERT_ML_BUFIO2_0 DCM_X0Y3.CLKIN net (fanout=1) 1.262 g_TTCclkOut[0].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y3.CLK0 Tdmcko_CLK -7.091 g_TTCclkOut[0].i_DCM_TTCclkOut g_TTCclkOut[0].i_DCM_TTCclkOut BUFGMUX_X2Y1.I0 net (fanout=1) 0.900 TTCclkOut_dcm<0> BUFGMUX_X2Y1.O Tgi0o 0.209 g_TTCclkOut[0].i_TTCclk_buf g_TTCclkOut[0].i_TTCclk_buf OLOGIC_X20Y3.CLK0 net (fanout=7) 2.380 TTCclkOut<0> ------------------------------------------------- --------------------------- Total -0.135ns (-5.305ns logic, 5.170ns route) Maximum Data Path at Slow Process Corner: g_TxFB[0].i_TxFB_T to TxFB_n<1> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X20Y3.TQ Tockq 0.740 TxFB<1> g_TxFB[0].i_TxFB_T AA18.T net (fanout=1) 0.438 TxFB_T<1> AA18.DIFFO_OUT Tiotd 1.909 TxFB_p<1> g_FB[1].i_TxFB/OBUFTDS AB18.DIFFO_IN net (fanout=1) 0.000 g_FB[1].i_TxFB/SLAVEBUF.DIFFOUT AB18.PAD Tiodop 0.027 TxFB_n<1> ProtoComp374.DIFFO_INUSED.4 TxFB_n<1> ------------------------------------------------- --------------------------- Total 3.114ns (2.676ns logic, 0.438ns route) (85.9% logic, 14.1% route) -------------------------------------------------------------------------------- Paths for end point TxFB_n<2> (AB17.PAD), 2 paths -------------------------------------------------------------------------------- Slack (slowest paths): 0.174ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[1].i_TxFB (FF) Destination: TxFB_n<2> (PAD) Source Clock: TTCclkOut<0> rising at 3.404ns Requirement: 7.200ns Data Path Delay: 3.481ns (Levels of Logic = 2) Clock Path Delay: -0.134ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<0> to g_TxFB[1].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- M20.I Tiopi 1.387 TTC_CLK_p<0> TTC_CLK_p<0> g_TTCclkOut[0].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.4 BUFIO2_X3Y12.I net (fanout=1) 0.628 TTCclk_in<0> BUFIO2_X3Y12.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_0 SP6_BUFIO_INSERT_ML_BUFIO2_0 DCM_X0Y3.CLKIN net (fanout=1) 1.262 g_TTCclkOut[0].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y3.CLK0 Tdmcko_CLK -7.091 g_TTCclkOut[0].i_DCM_TTCclkOut g_TTCclkOut[0].i_DCM_TTCclkOut BUFGMUX_X2Y1.I0 net (fanout=1) 0.900 TTCclkOut_dcm<0> BUFGMUX_X2Y1.O Tgi0o 0.209 g_TTCclkOut[0].i_TTCclk_buf g_TTCclkOut[0].i_TTCclk_buf OLOGIC_X19Y1.CLK0 net (fanout=7) 2.381 TTCclkOut<0> ------------------------------------------------- --------------------------- Total -0.134ns (-5.305ns logic, 5.171ns route) Maximum Data Path at Slow Process Corner: g_TxFB[1].i_TxFB to TxFB_n<2> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X19Y1.OQ Tockq 1.158 TxFB<2> g_TxFB[1].i_TxFB Y17.O net (fanout=1) 0.387 TxFB<2> Y17.DIFFO_OUT Tiood 1.909 TxFB_p<2> g_FB[2].i_TxFB/OBUFTDS AB17.DIFFO_IN net (fanout=1) 0.000 g_FB[2].i_TxFB/SLAVEBUF.DIFFOUT AB17.PAD Tiodop 0.027 TxFB_n<2> ProtoComp374.DIFFO_INUSED.5 TxFB_n<2> ------------------------------------------------- --------------------------- Total 3.481ns (3.094ns logic, 0.387ns route) (88.9% logic, 11.1% route) -------------------------------------------------------------------------------- Slack (slowest paths): 0.592ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[1].i_TxFB_T (FF) Destination: TxFB_n<2> (PAD) Source Clock: TTCclkOut<0> rising at 3.404ns Requirement: 7.200ns Data Path Delay: 3.063ns (Levels of Logic = 2) Clock Path Delay: -0.134ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<0> to g_TxFB[1].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- M20.I Tiopi 1.387 TTC_CLK_p<0> TTC_CLK_p<0> g_TTCclkOut[0].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.4 BUFIO2_X3Y12.I net (fanout=1) 0.628 TTCclk_in<0> BUFIO2_X3Y12.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_0 SP6_BUFIO_INSERT_ML_BUFIO2_0 DCM_X0Y3.CLKIN net (fanout=1) 1.262 g_TTCclkOut[0].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y3.CLK0 Tdmcko_CLK -7.091 g_TTCclkOut[0].i_DCM_TTCclkOut g_TTCclkOut[0].i_DCM_TTCclkOut BUFGMUX_X2Y1.I0 net (fanout=1) 0.900 TTCclkOut_dcm<0> BUFGMUX_X2Y1.O Tgi0o 0.209 g_TTCclkOut[0].i_TTCclk_buf g_TTCclkOut[0].i_TTCclk_buf OLOGIC_X19Y1.CLK0 net (fanout=7) 2.381 TTCclkOut<0> ------------------------------------------------- --------------------------- Total -0.134ns (-5.305ns logic, 5.171ns route) Maximum Data Path at Slow Process Corner: g_TxFB[1].i_TxFB_T to TxFB_n<2> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X19Y1.TQ Tockq 0.740 TxFB<2> g_TxFB[1].i_TxFB_T Y17.T net (fanout=1) 0.387 TxFB_T<2> Y17.DIFFO_OUT Tiotd 1.909 TxFB_p<2> g_FB[2].i_TxFB/OBUFTDS AB17.DIFFO_IN net (fanout=1) 0.000 g_FB[2].i_TxFB/SLAVEBUF.DIFFOUT AB17.PAD Tiodop 0.027 TxFB_n<2> ProtoComp374.DIFFO_INUSED.5 TxFB_n<2> ------------------------------------------------- --------------------------- Total 3.063ns (2.676ns logic, 0.387ns route) (87.4% logic, 12.6% route) -------------------------------------------------------------------------------- Fastest Paths: OFFSET = OUT 7.2 ns AFTER COMP "TTC_CLK_p<0>" "RISING"; -------------------------------------------------------------------------------- Paths for end point TxFB_p<2> (Y17.PAD), 2 paths -------------------------------------------------------------------------------- Delay (fastest paths): 4.580ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[1].i_TxFB (FF) Destination: TxFB_p<2> (PAD) Source Clock: TTCclkOut<0> rising at 3.404ns Data Path Delay: 1.292ns (Levels of Logic = 1) Clock Path Delay: 0.159ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<0> to g_TxFB[1].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- M20.I Tiopi 0.618 TTC_CLK_p<0> TTC_CLK_p<0> g_TTCclkOut[0].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.4 BUFIO2_X3Y12.I net (fanout=1) 0.205 TTCclk_in<0> BUFIO2_X3Y12.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_0 SP6_BUFIO_INSERT_ML_BUFIO2_0 DCM_X0Y3.CLKIN net (fanout=1) 0.402 g_TTCclkOut[0].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y3.CLK0 Tdmcko_CLK -2.443 g_TTCclkOut[0].i_DCM_TTCclkOut g_TTCclkOut[0].i_DCM_TTCclkOut BUFGMUX_X2Y1.I0 net (fanout=1) 0.263 TTCclkOut_dcm<0> BUFGMUX_X2Y1.O Tgi0o 0.059 g_TTCclkOut[0].i_TTCclk_buf g_TTCclkOut[0].i_TTCclk_buf OLOGIC_X19Y1.CLK0 net (fanout=7) 0.933 TTCclkOut<0> ------------------------------------------------- --------------------------- Total 0.159ns (-1.644ns logic, 1.803ns route) Minimum Data Path at Fast Process Corner: g_TxFB[1].i_TxFB to TxFB_p<2> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X19Y1.OQ Tockq 0.419 TxFB<2> g_TxFB[1].i_TxFB Y17.O net (fanout=1) 0.268 TxFB<2> Y17.PAD Tioop 0.605 TxFB_p<2> g_FB[2].i_TxFB/OBUFTDS TxFB_p<2> ------------------------------------------------- --------------------------- Total 1.292ns (1.024ns logic, 0.268ns route) (79.3% logic, 20.7% route) -------------------------------------------------------------------------------- Delay (fastest paths): 4.406ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[1].i_TxFB_T (FF) Destination: TxFB_p<2> (PAD) Source Clock: TTCclkOut<0> rising at 3.404ns Data Path Delay: 1.118ns (Levels of Logic = 1) Clock Path Delay: 0.159ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<0> to g_TxFB[1].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- M20.I Tiopi 0.618 TTC_CLK_p<0> TTC_CLK_p<0> g_TTCclkOut[0].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.4 BUFIO2_X3Y12.I net (fanout=1) 0.205 TTCclk_in<0> BUFIO2_X3Y12.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_0 SP6_BUFIO_INSERT_ML_BUFIO2_0 DCM_X0Y3.CLKIN net (fanout=1) 0.402 g_TTCclkOut[0].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y3.CLK0 Tdmcko_CLK -2.443 g_TTCclkOut[0].i_DCM_TTCclkOut g_TTCclkOut[0].i_DCM_TTCclkOut BUFGMUX_X2Y1.I0 net (fanout=1) 0.263 TTCclkOut_dcm<0> BUFGMUX_X2Y1.O Tgi0o 0.059 g_TTCclkOut[0].i_TTCclk_buf g_TTCclkOut[0].i_TTCclk_buf OLOGIC_X19Y1.CLK0 net (fanout=7) 0.933 TTCclkOut<0> ------------------------------------------------- --------------------------- Total 0.159ns (-1.644ns logic, 1.803ns route) Minimum Data Path at Fast Process Corner: g_TxFB[1].i_TxFB_T to TxFB_p<2> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X19Y1.TQ Tockq 0.245 TxFB<2> g_TxFB[1].i_TxFB_T Y17.T net (fanout=1) 0.268 TxFB_T<2> Y17.PAD Tiotp 0.605 TxFB_p<2> g_FB[2].i_TxFB/OBUFTDS TxFB_p<2> ------------------------------------------------- --------------------------- Total 1.118ns (0.850ns logic, 0.268ns route) (76.0% logic, 24.0% route) -------------------------------------------------------------------------------- Paths for end point TxFB_n<2> (AB17.PAD), 2 paths -------------------------------------------------------------------------------- Delay (fastest paths): 4.596ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[1].i_TxFB (FF) Destination: TxFB_n<2> (PAD) Source Clock: TTCclkOut<0> rising at 3.404ns Data Path Delay: 1.308ns (Levels of Logic = 2) Clock Path Delay: 0.159ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<0> to g_TxFB[1].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- M20.I Tiopi 0.618 TTC_CLK_p<0> TTC_CLK_p<0> g_TTCclkOut[0].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.4 BUFIO2_X3Y12.I net (fanout=1) 0.205 TTCclk_in<0> BUFIO2_X3Y12.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_0 SP6_BUFIO_INSERT_ML_BUFIO2_0 DCM_X0Y3.CLKIN net (fanout=1) 0.402 g_TTCclkOut[0].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y3.CLK0 Tdmcko_CLK -2.443 g_TTCclkOut[0].i_DCM_TTCclkOut g_TTCclkOut[0].i_DCM_TTCclkOut BUFGMUX_X2Y1.I0 net (fanout=1) 0.263 TTCclkOut_dcm<0> BUFGMUX_X2Y1.O Tgi0o 0.059 g_TTCclkOut[0].i_TTCclk_buf g_TTCclkOut[0].i_TTCclk_buf OLOGIC_X19Y1.CLK0 net (fanout=7) 0.933 TTCclkOut<0> ------------------------------------------------- --------------------------- Total 0.159ns (-1.644ns logic, 1.803ns route) Minimum Data Path at Fast Process Corner: g_TxFB[1].i_TxFB to TxFB_n<2> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X19Y1.OQ Tockq 0.419 TxFB<2> g_TxFB[1].i_TxFB Y17.O net (fanout=1) 0.268 TxFB<2> Y17.DIFFO_OUT Tiood 0.613 TxFB_p<2> g_FB[2].i_TxFB/OBUFTDS AB17.DIFFO_IN net (fanout=1) 0.000 g_FB[2].i_TxFB/SLAVEBUF.DIFFOUT AB17.PAD Tiodop 0.008 TxFB_n<2> ProtoComp374.DIFFO_INUSED.5 TxFB_n<2> ------------------------------------------------- --------------------------- Total 1.308ns (1.040ns logic, 0.268ns route) (79.5% logic, 20.5% route) -------------------------------------------------------------------------------- Delay (fastest paths): 4.422ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[1].i_TxFB_T (FF) Destination: TxFB_n<2> (PAD) Source Clock: TTCclkOut<0> rising at 3.404ns Data Path Delay: 1.134ns (Levels of Logic = 2) Clock Path Delay: 0.159ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<0> to g_TxFB[1].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- M20.I Tiopi 0.618 TTC_CLK_p<0> TTC_CLK_p<0> g_TTCclkOut[0].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.4 BUFIO2_X3Y12.I net (fanout=1) 0.205 TTCclk_in<0> BUFIO2_X3Y12.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_0 SP6_BUFIO_INSERT_ML_BUFIO2_0 DCM_X0Y3.CLKIN net (fanout=1) 0.402 g_TTCclkOut[0].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y3.CLK0 Tdmcko_CLK -2.443 g_TTCclkOut[0].i_DCM_TTCclkOut g_TTCclkOut[0].i_DCM_TTCclkOut BUFGMUX_X2Y1.I0 net (fanout=1) 0.263 TTCclkOut_dcm<0> BUFGMUX_X2Y1.O Tgi0o 0.059 g_TTCclkOut[0].i_TTCclk_buf g_TTCclkOut[0].i_TTCclk_buf OLOGIC_X19Y1.CLK0 net (fanout=7) 0.933 TTCclkOut<0> ------------------------------------------------- --------------------------- Total 0.159ns (-1.644ns logic, 1.803ns route) Minimum Data Path at Fast Process Corner: g_TxFB[1].i_TxFB_T to TxFB_n<2> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X19Y1.TQ Tockq 0.245 TxFB<2> g_TxFB[1].i_TxFB_T Y17.T net (fanout=1) 0.268 TxFB_T<2> Y17.DIFFO_OUT Tiotd 0.613 TxFB_p<2> g_FB[2].i_TxFB/OBUFTDS AB17.DIFFO_IN net (fanout=1) 0.000 g_FB[2].i_TxFB/SLAVEBUF.DIFFOUT AB17.PAD Tiodop 0.008 TxFB_n<2> ProtoComp374.DIFFO_INUSED.5 TxFB_n<2> ------------------------------------------------- --------------------------- Total 1.134ns (0.866ns logic, 0.268ns route) (76.4% logic, 23.6% route) -------------------------------------------------------------------------------- Paths for end point TxFB_p<1> (AA18.PAD), 2 paths -------------------------------------------------------------------------------- Delay (fastest paths): 4.630ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[0].i_TxFB (FF) Destination: TxFB_p<1> (PAD) Source Clock: TTCclkOut<0> rising at 3.404ns Data Path Delay: 1.343ns (Levels of Logic = 1) Clock Path Delay: 0.158ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<0> to g_TxFB[0].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- M20.I Tiopi 0.618 TTC_CLK_p<0> TTC_CLK_p<0> g_TTCclkOut[0].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.4 BUFIO2_X3Y12.I net (fanout=1) 0.205 TTCclk_in<0> BUFIO2_X3Y12.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_0 SP6_BUFIO_INSERT_ML_BUFIO2_0 DCM_X0Y3.CLKIN net (fanout=1) 0.402 g_TTCclkOut[0].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y3.CLK0 Tdmcko_CLK -2.443 g_TTCclkOut[0].i_DCM_TTCclkOut g_TTCclkOut[0].i_DCM_TTCclkOut BUFGMUX_X2Y1.I0 net (fanout=1) 0.263 TTCclkOut_dcm<0> BUFGMUX_X2Y1.O Tgi0o 0.059 g_TTCclkOut[0].i_TTCclk_buf g_TTCclkOut[0].i_TTCclk_buf OLOGIC_X20Y3.CLK0 net (fanout=7) 0.932 TTCclkOut<0> ------------------------------------------------- --------------------------- Total 0.158ns (-1.644ns logic, 1.802ns route) Minimum Data Path at Fast Process Corner: g_TxFB[0].i_TxFB to TxFB_p<1> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X20Y3.OQ Tockq 0.419 TxFB<1> g_TxFB[0].i_TxFB AA18.O net (fanout=1) 0.319 TxFB<1> AA18.PAD Tioop 0.605 TxFB_p<1> g_FB[1].i_TxFB/OBUFTDS TxFB_p<1> ------------------------------------------------- --------------------------- Total 1.343ns (1.024ns logic, 0.319ns route) (76.2% logic, 23.8% route) -------------------------------------------------------------------------------- Delay (fastest paths): 4.456ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[0].i_TxFB_T (FF) Destination: TxFB_p<1> (PAD) Source Clock: TTCclkOut<0> rising at 3.404ns Data Path Delay: 1.169ns (Levels of Logic = 1) Clock Path Delay: 0.158ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<0> to g_TxFB[0].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- M20.I Tiopi 0.618 TTC_CLK_p<0> TTC_CLK_p<0> g_TTCclkOut[0].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.4 BUFIO2_X3Y12.I net (fanout=1) 0.205 TTCclk_in<0> BUFIO2_X3Y12.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_0 SP6_BUFIO_INSERT_ML_BUFIO2_0 DCM_X0Y3.CLKIN net (fanout=1) 0.402 g_TTCclkOut[0].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y3.CLK0 Tdmcko_CLK -2.443 g_TTCclkOut[0].i_DCM_TTCclkOut g_TTCclkOut[0].i_DCM_TTCclkOut BUFGMUX_X2Y1.I0 net (fanout=1) 0.263 TTCclkOut_dcm<0> BUFGMUX_X2Y1.O Tgi0o 0.059 g_TTCclkOut[0].i_TTCclk_buf g_TTCclkOut[0].i_TTCclk_buf OLOGIC_X20Y3.CLK0 net (fanout=7) 0.932 TTCclkOut<0> ------------------------------------------------- --------------------------- Total 0.158ns (-1.644ns logic, 1.802ns route) Minimum Data Path at Fast Process Corner: g_TxFB[0].i_TxFB_T to TxFB_p<1> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X20Y3.TQ Tockq 0.245 TxFB<1> g_TxFB[0].i_TxFB_T AA18.T net (fanout=1) 0.319 TxFB_T<1> AA18.PAD Tiotp 0.605 TxFB_p<1> g_FB[1].i_TxFB/OBUFTDS TxFB_p<1> ------------------------------------------------- --------------------------- Total 1.169ns (0.850ns logic, 0.319ns route) (72.7% logic, 27.3% route) -------------------------------------------------------------------------------- ================================================================================ Timing constraint: OFFSET = OUT 7.2 ns AFTER COMP "TTC_CLK_p<0>" "FALLING"; For more information, see Offset Out Analysis in the Timing Closure User Guide (UG612). 12 paths analyzed, 6 endpoints analyzed, 0 failing endpoints 0 timing errors detected. Minimum allowable offset is 7.016ns. -------------------------------------------------------------------------------- Paths for end point TxFB_n<3> (AB16.PAD), 2 paths -------------------------------------------------------------------------------- Slack (slowest paths): 0.184ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[2].i_TxFB (FF) Destination: TxFB_n<3> (PAD) Source Clock: TTCclkOut<0> falling at 3.404ns Requirement: 7.200ns Data Path Delay: 3.532ns (Levels of Logic = 2) Clock Path Delay: -0.195ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<0> to g_TxFB[2].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- M20.I Tiopi 1.387 TTC_CLK_p<0> TTC_CLK_p<0> g_TTCclkOut[0].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.4 BUFIO2_X3Y12.I net (fanout=1) 0.628 TTCclk_in<0> BUFIO2_X3Y12.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_0 SP6_BUFIO_INSERT_ML_BUFIO2_0 DCM_X0Y3.CLKIN net (fanout=1) 1.262 g_TTCclkOut[0].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y3.CLK0 Tdmcko_CLK -7.091 g_TTCclkOut[0].i_DCM_TTCclkOut g_TTCclkOut[0].i_DCM_TTCclkOut BUFGMUX_X2Y1.I0 net (fanout=1) 0.900 TTCclkOut_dcm<0> BUFGMUX_X2Y1.O Tgi0o 0.209 g_TTCclkOut[0].i_TTCclk_buf g_TTCclkOut[0].i_TTCclk_buf OLOGIC_X17Y3.CLK1 net (fanout=7) 2.320 TTCclkOut<0> ------------------------------------------------- --------------------------- Total -0.195ns (-5.305ns logic, 5.110ns route) Maximum Data Path at Slow Process Corner: g_TxFB[2].i_TxFB to TxFB_n<3> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X17Y3.OQ Tockq 1.158 TxFB<3> g_TxFB[2].i_TxFB AA16.O net (fanout=1) 0.438 TxFB<3> AA16.DIFFO_OUT Tiood 1.909 TxFB_p<3> g_FB[3].i_TxFB/OBUFTDS AB16.DIFFO_IN net (fanout=1) 0.000 g_FB[3].i_TxFB/SLAVEBUF.DIFFOUT AB16.PAD Tiodop 0.027 TxFB_n<3> ProtoComp374.DIFFO_INUSED.6 TxFB_n<3> ------------------------------------------------- --------------------------- Total 3.532ns (3.094ns logic, 0.438ns route) (87.6% logic, 12.4% route) -------------------------------------------------------------------------------- Slack (slowest paths): 0.602ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[2].i_TxFB_T (FF) Destination: TxFB_n<3> (PAD) Source Clock: TTCclkOut<0> falling at 3.404ns Requirement: 7.200ns Data Path Delay: 3.114ns (Levels of Logic = 2) Clock Path Delay: -0.195ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<0> to g_TxFB[2].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- M20.I Tiopi 1.387 TTC_CLK_p<0> TTC_CLK_p<0> g_TTCclkOut[0].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.4 BUFIO2_X3Y12.I net (fanout=1) 0.628 TTCclk_in<0> BUFIO2_X3Y12.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_0 SP6_BUFIO_INSERT_ML_BUFIO2_0 DCM_X0Y3.CLKIN net (fanout=1) 1.262 g_TTCclkOut[0].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y3.CLK0 Tdmcko_CLK -7.091 g_TTCclkOut[0].i_DCM_TTCclkOut g_TTCclkOut[0].i_DCM_TTCclkOut BUFGMUX_X2Y1.I0 net (fanout=1) 0.900 TTCclkOut_dcm<0> BUFGMUX_X2Y1.O Tgi0o 0.209 g_TTCclkOut[0].i_TTCclk_buf g_TTCclkOut[0].i_TTCclk_buf OLOGIC_X17Y3.CLK1 net (fanout=7) 2.320 TTCclkOut<0> ------------------------------------------------- --------------------------- Total -0.195ns (-5.305ns logic, 5.110ns route) Maximum Data Path at Slow Process Corner: g_TxFB[2].i_TxFB_T to TxFB_n<3> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X17Y3.TQ Tockq 0.740 TxFB<3> g_TxFB[2].i_TxFB_T AA16.T net (fanout=1) 0.438 TxFB_T<3> AA16.DIFFO_OUT Tiotd 1.909 TxFB_p<3> g_FB[3].i_TxFB/OBUFTDS AB16.DIFFO_IN net (fanout=1) 0.000 g_FB[3].i_TxFB/SLAVEBUF.DIFFOUT AB16.PAD Tiodop 0.027 TxFB_n<3> ProtoComp374.DIFFO_INUSED.6 TxFB_n<3> ------------------------------------------------- --------------------------- Total 3.114ns (2.676ns logic, 0.438ns route) (85.9% logic, 14.1% route) -------------------------------------------------------------------------------- Paths for end point TxFB_n<1> (AB18.PAD), 2 paths -------------------------------------------------------------------------------- Slack (slowest paths): 0.185ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[0].i_TxFB (FF) Destination: TxFB_n<1> (PAD) Source Clock: TTCclkOut<0> falling at 3.404ns Requirement: 7.200ns Data Path Delay: 3.532ns (Levels of Logic = 2) Clock Path Delay: -0.196ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<0> to g_TxFB[0].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- M20.I Tiopi 1.387 TTC_CLK_p<0> TTC_CLK_p<0> g_TTCclkOut[0].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.4 BUFIO2_X3Y12.I net (fanout=1) 0.628 TTCclk_in<0> BUFIO2_X3Y12.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_0 SP6_BUFIO_INSERT_ML_BUFIO2_0 DCM_X0Y3.CLKIN net (fanout=1) 1.262 g_TTCclkOut[0].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y3.CLK0 Tdmcko_CLK -7.091 g_TTCclkOut[0].i_DCM_TTCclkOut g_TTCclkOut[0].i_DCM_TTCclkOut BUFGMUX_X2Y1.I0 net (fanout=1) 0.900 TTCclkOut_dcm<0> BUFGMUX_X2Y1.O Tgi0o 0.209 g_TTCclkOut[0].i_TTCclk_buf g_TTCclkOut[0].i_TTCclk_buf OLOGIC_X20Y3.CLK1 net (fanout=7) 2.319 TTCclkOut<0> ------------------------------------------------- --------------------------- Total -0.196ns (-5.305ns logic, 5.109ns route) Maximum Data Path at Slow Process Corner: g_TxFB[0].i_TxFB to TxFB_n<1> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X20Y3.OQ Tockq 1.158 TxFB<1> g_TxFB[0].i_TxFB AA18.O net (fanout=1) 0.438 TxFB<1> AA18.DIFFO_OUT Tiood 1.909 TxFB_p<1> g_FB[1].i_TxFB/OBUFTDS AB18.DIFFO_IN net (fanout=1) 0.000 g_FB[1].i_TxFB/SLAVEBUF.DIFFOUT AB18.PAD Tiodop 0.027 TxFB_n<1> ProtoComp374.DIFFO_INUSED.4 TxFB_n<1> ------------------------------------------------- --------------------------- Total 3.532ns (3.094ns logic, 0.438ns route) (87.6% logic, 12.4% route) -------------------------------------------------------------------------------- Slack (slowest paths): 0.603ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[0].i_TxFB_T (FF) Destination: TxFB_n<1> (PAD) Source Clock: TTCclkOut<0> falling at 3.404ns Requirement: 7.200ns Data Path Delay: 3.114ns (Levels of Logic = 2) Clock Path Delay: -0.196ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<0> to g_TxFB[0].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- M20.I Tiopi 1.387 TTC_CLK_p<0> TTC_CLK_p<0> g_TTCclkOut[0].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.4 BUFIO2_X3Y12.I net (fanout=1) 0.628 TTCclk_in<0> BUFIO2_X3Y12.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_0 SP6_BUFIO_INSERT_ML_BUFIO2_0 DCM_X0Y3.CLKIN net (fanout=1) 1.262 g_TTCclkOut[0].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y3.CLK0 Tdmcko_CLK -7.091 g_TTCclkOut[0].i_DCM_TTCclkOut g_TTCclkOut[0].i_DCM_TTCclkOut BUFGMUX_X2Y1.I0 net (fanout=1) 0.900 TTCclkOut_dcm<0> BUFGMUX_X2Y1.O Tgi0o 0.209 g_TTCclkOut[0].i_TTCclk_buf g_TTCclkOut[0].i_TTCclk_buf OLOGIC_X20Y3.CLK1 net (fanout=7) 2.319 TTCclkOut<0> ------------------------------------------------- --------------------------- Total -0.196ns (-5.305ns logic, 5.109ns route) Maximum Data Path at Slow Process Corner: g_TxFB[0].i_TxFB_T to TxFB_n<1> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X20Y3.TQ Tockq 0.740 TxFB<1> g_TxFB[0].i_TxFB_T AA18.T net (fanout=1) 0.438 TxFB_T<1> AA18.DIFFO_OUT Tiotd 1.909 TxFB_p<1> g_FB[1].i_TxFB/OBUFTDS AB18.DIFFO_IN net (fanout=1) 0.000 g_FB[1].i_TxFB/SLAVEBUF.DIFFOUT AB18.PAD Tiodop 0.027 TxFB_n<1> ProtoComp374.DIFFO_INUSED.4 TxFB_n<1> ------------------------------------------------- --------------------------- Total 3.114ns (2.676ns logic, 0.438ns route) (85.9% logic, 14.1% route) -------------------------------------------------------------------------------- Paths for end point TxFB_n<2> (AB17.PAD), 2 paths -------------------------------------------------------------------------------- Slack (slowest paths): 0.235ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[1].i_TxFB (FF) Destination: TxFB_n<2> (PAD) Source Clock: TTCclkOut<0> falling at 3.404ns Requirement: 7.200ns Data Path Delay: 3.481ns (Levels of Logic = 2) Clock Path Delay: -0.195ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<0> to g_TxFB[1].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- M20.I Tiopi 1.387 TTC_CLK_p<0> TTC_CLK_p<0> g_TTCclkOut[0].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.4 BUFIO2_X3Y12.I net (fanout=1) 0.628 TTCclk_in<0> BUFIO2_X3Y12.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_0 SP6_BUFIO_INSERT_ML_BUFIO2_0 DCM_X0Y3.CLKIN net (fanout=1) 1.262 g_TTCclkOut[0].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y3.CLK0 Tdmcko_CLK -7.091 g_TTCclkOut[0].i_DCM_TTCclkOut g_TTCclkOut[0].i_DCM_TTCclkOut BUFGMUX_X2Y1.I0 net (fanout=1) 0.900 TTCclkOut_dcm<0> BUFGMUX_X2Y1.O Tgi0o 0.209 g_TTCclkOut[0].i_TTCclk_buf g_TTCclkOut[0].i_TTCclk_buf OLOGIC_X19Y1.CLK1 net (fanout=7) 2.320 TTCclkOut<0> ------------------------------------------------- --------------------------- Total -0.195ns (-5.305ns logic, 5.110ns route) Maximum Data Path at Slow Process Corner: g_TxFB[1].i_TxFB to TxFB_n<2> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X19Y1.OQ Tockq 1.158 TxFB<2> g_TxFB[1].i_TxFB Y17.O net (fanout=1) 0.387 TxFB<2> Y17.DIFFO_OUT Tiood 1.909 TxFB_p<2> g_FB[2].i_TxFB/OBUFTDS AB17.DIFFO_IN net (fanout=1) 0.000 g_FB[2].i_TxFB/SLAVEBUF.DIFFOUT AB17.PAD Tiodop 0.027 TxFB_n<2> ProtoComp374.DIFFO_INUSED.5 TxFB_n<2> ------------------------------------------------- --------------------------- Total 3.481ns (3.094ns logic, 0.387ns route) (88.9% logic, 11.1% route) -------------------------------------------------------------------------------- Slack (slowest paths): 0.653ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[1].i_TxFB_T (FF) Destination: TxFB_n<2> (PAD) Source Clock: TTCclkOut<0> falling at 3.404ns Requirement: 7.200ns Data Path Delay: 3.063ns (Levels of Logic = 2) Clock Path Delay: -0.195ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<0> to g_TxFB[1].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- M20.I Tiopi 1.387 TTC_CLK_p<0> TTC_CLK_p<0> g_TTCclkOut[0].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.4 BUFIO2_X3Y12.I net (fanout=1) 0.628 TTCclk_in<0> BUFIO2_X3Y12.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_0 SP6_BUFIO_INSERT_ML_BUFIO2_0 DCM_X0Y3.CLKIN net (fanout=1) 1.262 g_TTCclkOut[0].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y3.CLK0 Tdmcko_CLK -7.091 g_TTCclkOut[0].i_DCM_TTCclkOut g_TTCclkOut[0].i_DCM_TTCclkOut BUFGMUX_X2Y1.I0 net (fanout=1) 0.900 TTCclkOut_dcm<0> BUFGMUX_X2Y1.O Tgi0o 0.209 g_TTCclkOut[0].i_TTCclk_buf g_TTCclkOut[0].i_TTCclk_buf OLOGIC_X19Y1.CLK1 net (fanout=7) 2.320 TTCclkOut<0> ------------------------------------------------- --------------------------- Total -0.195ns (-5.305ns logic, 5.110ns route) Maximum Data Path at Slow Process Corner: g_TxFB[1].i_TxFB_T to TxFB_n<2> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X19Y1.TQ Tockq 0.740 TxFB<2> g_TxFB[1].i_TxFB_T Y17.T net (fanout=1) 0.387 TxFB_T<2> Y17.DIFFO_OUT Tiotd 1.909 TxFB_p<2> g_FB[2].i_TxFB/OBUFTDS AB17.DIFFO_IN net (fanout=1) 0.000 g_FB[2].i_TxFB/SLAVEBUF.DIFFOUT AB17.PAD Tiodop 0.027 TxFB_n<2> ProtoComp374.DIFFO_INUSED.5 TxFB_n<2> ------------------------------------------------- --------------------------- Total 3.063ns (2.676ns logic, 0.387ns route) (87.4% logic, 12.6% route) -------------------------------------------------------------------------------- Fastest Paths: OFFSET = OUT 7.2 ns AFTER COMP "TTC_CLK_p<0>" "FALLING"; -------------------------------------------------------------------------------- Paths for end point TxFB_p<2> (Y17.PAD), 2 paths -------------------------------------------------------------------------------- Delay (fastest paths): 4.515ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[1].i_TxFB (FF) Destination: TxFB_p<2> (PAD) Source Clock: TTCclkOut<0> falling at 3.404ns Data Path Delay: 1.298ns (Levels of Logic = 1) Clock Path Delay: 0.088ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<0> to g_TxFB[1].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- M20.I Tiopi 0.618 TTC_CLK_p<0> TTC_CLK_p<0> g_TTCclkOut[0].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.4 BUFIO2_X3Y12.I net (fanout=1) 0.205 TTCclk_in<0> BUFIO2_X3Y12.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_0 SP6_BUFIO_INSERT_ML_BUFIO2_0 DCM_X0Y3.CLKIN net (fanout=1) 0.402 g_TTCclkOut[0].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y3.CLK0 Tdmcko_CLK -2.443 g_TTCclkOut[0].i_DCM_TTCclkOut g_TTCclkOut[0].i_DCM_TTCclkOut BUFGMUX_X2Y1.I0 net (fanout=1) 0.263 TTCclkOut_dcm<0> BUFGMUX_X2Y1.O Tgi0o 0.059 g_TTCclkOut[0].i_TTCclk_buf g_TTCclkOut[0].i_TTCclk_buf OLOGIC_X19Y1.CLK1 net (fanout=7) 0.862 TTCclkOut<0> ------------------------------------------------- --------------------------- Total 0.088ns (-1.644ns logic, 1.732ns route) Minimum Data Path at Fast Process Corner: g_TxFB[1].i_TxFB to TxFB_p<2> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X19Y1.OQ Tockq 0.425 TxFB<2> g_TxFB[1].i_TxFB Y17.O net (fanout=1) 0.268 TxFB<2> Y17.PAD Tioop 0.605 TxFB_p<2> g_FB[2].i_TxFB/OBUFTDS TxFB_p<2> ------------------------------------------------- --------------------------- Total 1.298ns (1.030ns logic, 0.268ns route) (79.4% logic, 20.6% route) -------------------------------------------------------------------------------- Delay (fastest paths): 4.341ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[1].i_TxFB_T (FF) Destination: TxFB_p<2> (PAD) Source Clock: TTCclkOut<0> falling at 3.404ns Data Path Delay: 1.124ns (Levels of Logic = 1) Clock Path Delay: 0.088ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<0> to g_TxFB[1].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- M20.I Tiopi 0.618 TTC_CLK_p<0> TTC_CLK_p<0> g_TTCclkOut[0].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.4 BUFIO2_X3Y12.I net (fanout=1) 0.205 TTCclk_in<0> BUFIO2_X3Y12.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_0 SP6_BUFIO_INSERT_ML_BUFIO2_0 DCM_X0Y3.CLKIN net (fanout=1) 0.402 g_TTCclkOut[0].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y3.CLK0 Tdmcko_CLK -2.443 g_TTCclkOut[0].i_DCM_TTCclkOut g_TTCclkOut[0].i_DCM_TTCclkOut BUFGMUX_X2Y1.I0 net (fanout=1) 0.263 TTCclkOut_dcm<0> BUFGMUX_X2Y1.O Tgi0o 0.059 g_TTCclkOut[0].i_TTCclk_buf g_TTCclkOut[0].i_TTCclk_buf OLOGIC_X19Y1.CLK1 net (fanout=7) 0.862 TTCclkOut<0> ------------------------------------------------- --------------------------- Total 0.088ns (-1.644ns logic, 1.732ns route) Minimum Data Path at Fast Process Corner: g_TxFB[1].i_TxFB_T to TxFB_p<2> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X19Y1.TQ Tockq 0.251 TxFB<2> g_TxFB[1].i_TxFB_T Y17.T net (fanout=1) 0.268 TxFB_T<2> Y17.PAD Tiotp 0.605 TxFB_p<2> g_FB[2].i_TxFB/OBUFTDS TxFB_p<2> ------------------------------------------------- --------------------------- Total 1.124ns (0.856ns logic, 0.268ns route) (76.2% logic, 23.8% route) -------------------------------------------------------------------------------- Paths for end point TxFB_n<2> (AB17.PAD), 2 paths -------------------------------------------------------------------------------- Delay (fastest paths): 4.531ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[1].i_TxFB (FF) Destination: TxFB_n<2> (PAD) Source Clock: TTCclkOut<0> falling at 3.404ns Data Path Delay: 1.314ns (Levels of Logic = 2) Clock Path Delay: 0.088ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<0> to g_TxFB[1].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- M20.I Tiopi 0.618 TTC_CLK_p<0> TTC_CLK_p<0> g_TTCclkOut[0].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.4 BUFIO2_X3Y12.I net (fanout=1) 0.205 TTCclk_in<0> BUFIO2_X3Y12.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_0 SP6_BUFIO_INSERT_ML_BUFIO2_0 DCM_X0Y3.CLKIN net (fanout=1) 0.402 g_TTCclkOut[0].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y3.CLK0 Tdmcko_CLK -2.443 g_TTCclkOut[0].i_DCM_TTCclkOut g_TTCclkOut[0].i_DCM_TTCclkOut BUFGMUX_X2Y1.I0 net (fanout=1) 0.263 TTCclkOut_dcm<0> BUFGMUX_X2Y1.O Tgi0o 0.059 g_TTCclkOut[0].i_TTCclk_buf g_TTCclkOut[0].i_TTCclk_buf OLOGIC_X19Y1.CLK1 net (fanout=7) 0.862 TTCclkOut<0> ------------------------------------------------- --------------------------- Total 0.088ns (-1.644ns logic, 1.732ns route) Minimum Data Path at Fast Process Corner: g_TxFB[1].i_TxFB to TxFB_n<2> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X19Y1.OQ Tockq 0.425 TxFB<2> g_TxFB[1].i_TxFB Y17.O net (fanout=1) 0.268 TxFB<2> Y17.DIFFO_OUT Tiood 0.613 TxFB_p<2> g_FB[2].i_TxFB/OBUFTDS AB17.DIFFO_IN net (fanout=1) 0.000 g_FB[2].i_TxFB/SLAVEBUF.DIFFOUT AB17.PAD Tiodop 0.008 TxFB_n<2> ProtoComp374.DIFFO_INUSED.5 TxFB_n<2> ------------------------------------------------- --------------------------- Total 1.314ns (1.046ns logic, 0.268ns route) (79.6% logic, 20.4% route) -------------------------------------------------------------------------------- Delay (fastest paths): 4.357ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[1].i_TxFB_T (FF) Destination: TxFB_n<2> (PAD) Source Clock: TTCclkOut<0> falling at 3.404ns Data Path Delay: 1.140ns (Levels of Logic = 2) Clock Path Delay: 0.088ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<0> to g_TxFB[1].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- M20.I Tiopi 0.618 TTC_CLK_p<0> TTC_CLK_p<0> g_TTCclkOut[0].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.4 BUFIO2_X3Y12.I net (fanout=1) 0.205 TTCclk_in<0> BUFIO2_X3Y12.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_0 SP6_BUFIO_INSERT_ML_BUFIO2_0 DCM_X0Y3.CLKIN net (fanout=1) 0.402 g_TTCclkOut[0].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y3.CLK0 Tdmcko_CLK -2.443 g_TTCclkOut[0].i_DCM_TTCclkOut g_TTCclkOut[0].i_DCM_TTCclkOut BUFGMUX_X2Y1.I0 net (fanout=1) 0.263 TTCclkOut_dcm<0> BUFGMUX_X2Y1.O Tgi0o 0.059 g_TTCclkOut[0].i_TTCclk_buf g_TTCclkOut[0].i_TTCclk_buf OLOGIC_X19Y1.CLK1 net (fanout=7) 0.862 TTCclkOut<0> ------------------------------------------------- --------------------------- Total 0.088ns (-1.644ns logic, 1.732ns route) Minimum Data Path at Fast Process Corner: g_TxFB[1].i_TxFB_T to TxFB_n<2> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X19Y1.TQ Tockq 0.251 TxFB<2> g_TxFB[1].i_TxFB_T Y17.T net (fanout=1) 0.268 TxFB_T<2> Y17.DIFFO_OUT Tiotd 0.613 TxFB_p<2> g_FB[2].i_TxFB/OBUFTDS AB17.DIFFO_IN net (fanout=1) 0.000 g_FB[2].i_TxFB/SLAVEBUF.DIFFOUT AB17.PAD Tiodop 0.008 TxFB_n<2> ProtoComp374.DIFFO_INUSED.5 TxFB_n<2> ------------------------------------------------- --------------------------- Total 1.140ns (0.872ns logic, 0.268ns route) (76.5% logic, 23.5% route) -------------------------------------------------------------------------------- Paths for end point TxFB_p<1> (AA18.PAD), 2 paths -------------------------------------------------------------------------------- Delay (fastest paths): 4.565ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[0].i_TxFB (FF) Destination: TxFB_p<1> (PAD) Source Clock: TTCclkOut<0> falling at 3.404ns Data Path Delay: 1.349ns (Levels of Logic = 1) Clock Path Delay: 0.087ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<0> to g_TxFB[0].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- M20.I Tiopi 0.618 TTC_CLK_p<0> TTC_CLK_p<0> g_TTCclkOut[0].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.4 BUFIO2_X3Y12.I net (fanout=1) 0.205 TTCclk_in<0> BUFIO2_X3Y12.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_0 SP6_BUFIO_INSERT_ML_BUFIO2_0 DCM_X0Y3.CLKIN net (fanout=1) 0.402 g_TTCclkOut[0].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y3.CLK0 Tdmcko_CLK -2.443 g_TTCclkOut[0].i_DCM_TTCclkOut g_TTCclkOut[0].i_DCM_TTCclkOut BUFGMUX_X2Y1.I0 net (fanout=1) 0.263 TTCclkOut_dcm<0> BUFGMUX_X2Y1.O Tgi0o 0.059 g_TTCclkOut[0].i_TTCclk_buf g_TTCclkOut[0].i_TTCclk_buf OLOGIC_X20Y3.CLK1 net (fanout=7) 0.861 TTCclkOut<0> ------------------------------------------------- --------------------------- Total 0.087ns (-1.644ns logic, 1.731ns route) Minimum Data Path at Fast Process Corner: g_TxFB[0].i_TxFB to TxFB_p<1> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X20Y3.OQ Tockq 0.425 TxFB<1> g_TxFB[0].i_TxFB AA18.O net (fanout=1) 0.319 TxFB<1> AA18.PAD Tioop 0.605 TxFB_p<1> g_FB[1].i_TxFB/OBUFTDS TxFB_p<1> ------------------------------------------------- --------------------------- Total 1.349ns (1.030ns logic, 0.319ns route) (76.4% logic, 23.6% route) -------------------------------------------------------------------------------- Delay (fastest paths): 4.391ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[0].i_TxFB_T (FF) Destination: TxFB_p<1> (PAD) Source Clock: TTCclkOut<0> falling at 3.404ns Data Path Delay: 1.175ns (Levels of Logic = 1) Clock Path Delay: 0.087ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<0> to g_TxFB[0].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- M20.I Tiopi 0.618 TTC_CLK_p<0> TTC_CLK_p<0> g_TTCclkOut[0].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.4 BUFIO2_X3Y12.I net (fanout=1) 0.205 TTCclk_in<0> BUFIO2_X3Y12.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_0 SP6_BUFIO_INSERT_ML_BUFIO2_0 DCM_X0Y3.CLKIN net (fanout=1) 0.402 g_TTCclkOut[0].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y3.CLK0 Tdmcko_CLK -2.443 g_TTCclkOut[0].i_DCM_TTCclkOut g_TTCclkOut[0].i_DCM_TTCclkOut BUFGMUX_X2Y1.I0 net (fanout=1) 0.263 TTCclkOut_dcm<0> BUFGMUX_X2Y1.O Tgi0o 0.059 g_TTCclkOut[0].i_TTCclk_buf g_TTCclkOut[0].i_TTCclk_buf OLOGIC_X20Y3.CLK1 net (fanout=7) 0.861 TTCclkOut<0> ------------------------------------------------- --------------------------- Total 0.087ns (-1.644ns logic, 1.731ns route) Minimum Data Path at Fast Process Corner: g_TxFB[0].i_TxFB_T to TxFB_p<1> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X20Y3.TQ Tockq 0.251 TxFB<1> g_TxFB[0].i_TxFB_T AA18.T net (fanout=1) 0.319 TxFB_T<1> AA18.PAD Tiotp 0.605 TxFB_p<1> g_FB[1].i_TxFB/OBUFTDS TxFB_p<1> ------------------------------------------------- --------------------------- Total 1.175ns (0.856ns logic, 0.319ns route) (72.9% logic, 27.1% route) -------------------------------------------------------------------------------- ================================================================================ Timing constraint: OFFSET = OUT 7.2 ns AFTER COMP "TTC_CLK_p<1>" "RISING"; For more information, see Offset Out Analysis in the Timing Closure User Guide (UG612). 12 paths analyzed, 6 endpoints analyzed, 0 failing endpoints 0 timing errors detected. Minimum allowable offset is 7.011ns. -------------------------------------------------------------------------------- Paths for end point TxFB_n<4> (AB15.PAD), 2 paths -------------------------------------------------------------------------------- Slack (slowest paths): 0.189ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[3].i_TxFB (FF) Destination: TxFB_n<4> (PAD) Source Clock: TTCclkOut<1> rising at 3.404ns Requirement: 7.200ns Data Path Delay: 3.532ns (Levels of Logic = 2) Clock Path Delay: -0.200ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<1> to g_TxFB[3].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- K21.I Tiopi 1.387 TTC_CLK_p<1> TTC_CLK_p<1> g_TTCclkOut[1].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.5 BUFIO2_X3Y10.I net (fanout=1) 0.627 TTCclk_in<1> BUFIO2_X3Y10.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_1 SP6_BUFIO_INSERT_ML_BUFIO2_1 DCM_X0Y1.CLKIN net (fanout=1) 1.262 g_TTCclkOut[1].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y1.CLK0 Tdmcko_CLK -7.464 g_TTCclkOut[1].i_DCM_TTCclkOut g_TTCclkOut[1].i_DCM_TTCclkOut BUFGMUX_X2Y4.I0 net (fanout=1) 1.207 TTCclkOut_dcm<1> BUFGMUX_X2Y4.O Tgi0o 0.209 g_TTCclkOut[1].i_TTCclk_buf g_TTCclkOut[1].i_TTCclk_buf OLOGIC_X16Y3.CLK0 net (fanout=7) 2.382 TTCclkOut<1> ------------------------------------------------- --------------------------- Total -0.200ns (-5.678ns logic, 5.478ns route) Maximum Data Path at Slow Process Corner: g_TxFB[3].i_TxFB to TxFB_n<4> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X16Y3.OQ Tockq 1.158 TxFB<4> g_TxFB[3].i_TxFB Y15.O net (fanout=1) 0.438 TxFB<4> Y15.DIFFO_OUT Tiood 1.909 TxFB_p<4> g_FB[4].i_TxFB/OBUFTDS AB15.DIFFO_IN net (fanout=1) 0.000 g_FB[4].i_TxFB/SLAVEBUF.DIFFOUT AB15.PAD Tiodop 0.027 TxFB_n<4> ProtoComp374.DIFFO_INUSED.7 TxFB_n<4> ------------------------------------------------- --------------------------- Total 3.532ns (3.094ns logic, 0.438ns route) (87.6% logic, 12.4% route) -------------------------------------------------------------------------------- Slack (slowest paths): 0.607ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[3].i_TxFB_T (FF) Destination: TxFB_n<4> (PAD) Source Clock: TTCclkOut<1> rising at 3.404ns Requirement: 7.200ns Data Path Delay: 3.114ns (Levels of Logic = 2) Clock Path Delay: -0.200ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<1> to g_TxFB[3].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- K21.I Tiopi 1.387 TTC_CLK_p<1> TTC_CLK_p<1> g_TTCclkOut[1].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.5 BUFIO2_X3Y10.I net (fanout=1) 0.627 TTCclk_in<1> BUFIO2_X3Y10.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_1 SP6_BUFIO_INSERT_ML_BUFIO2_1 DCM_X0Y1.CLKIN net (fanout=1) 1.262 g_TTCclkOut[1].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y1.CLK0 Tdmcko_CLK -7.464 g_TTCclkOut[1].i_DCM_TTCclkOut g_TTCclkOut[1].i_DCM_TTCclkOut BUFGMUX_X2Y4.I0 net (fanout=1) 1.207 TTCclkOut_dcm<1> BUFGMUX_X2Y4.O Tgi0o 0.209 g_TTCclkOut[1].i_TTCclk_buf g_TTCclkOut[1].i_TTCclk_buf OLOGIC_X16Y3.CLK0 net (fanout=7) 2.382 TTCclkOut<1> ------------------------------------------------- --------------------------- Total -0.200ns (-5.678ns logic, 5.478ns route) Maximum Data Path at Slow Process Corner: g_TxFB[3].i_TxFB_T to TxFB_n<4> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X16Y3.TQ Tockq 0.740 TxFB<4> g_TxFB[3].i_TxFB_T Y15.T net (fanout=1) 0.438 TxFB_T<4> Y15.DIFFO_OUT Tiotd 1.909 TxFB_p<4> g_FB[4].i_TxFB/OBUFTDS AB15.DIFFO_IN net (fanout=1) 0.000 g_FB[4].i_TxFB/SLAVEBUF.DIFFOUT AB15.PAD Tiodop 0.027 TxFB_n<4> ProtoComp374.DIFFO_INUSED.7 TxFB_n<4> ------------------------------------------------- --------------------------- Total 3.114ns (2.676ns logic, 0.438ns route) (85.9% logic, 14.1% route) -------------------------------------------------------------------------------- Paths for end point TxFB_n<5> (AB13.PAD), 2 paths -------------------------------------------------------------------------------- Slack (slowest paths): 0.189ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[4].i_TxFB (FF) Destination: TxFB_n<5> (PAD) Source Clock: TTCclkOut<1> rising at 3.404ns Requirement: 7.200ns Data Path Delay: 3.532ns (Levels of Logic = 2) Clock Path Delay: -0.200ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<1> to g_TxFB[4].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- K21.I Tiopi 1.387 TTC_CLK_p<1> TTC_CLK_p<1> g_TTCclkOut[1].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.5 BUFIO2_X3Y10.I net (fanout=1) 0.627 TTCclk_in<1> BUFIO2_X3Y10.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_1 SP6_BUFIO_INSERT_ML_BUFIO2_1 DCM_X0Y1.CLKIN net (fanout=1) 1.262 g_TTCclkOut[1].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y1.CLK0 Tdmcko_CLK -7.464 g_TTCclkOut[1].i_DCM_TTCclkOut g_TTCclkOut[1].i_DCM_TTCclkOut BUFGMUX_X2Y4.I0 net (fanout=1) 1.207 TTCclkOut_dcm<1> BUFGMUX_X2Y4.O Tgi0o 0.209 g_TTCclkOut[1].i_TTCclk_buf g_TTCclkOut[1].i_TTCclk_buf OLOGIC_X14Y3.CLK0 net (fanout=7) 2.382 TTCclkOut<1> ------------------------------------------------- --------------------------- Total -0.200ns (-5.678ns logic, 5.478ns route) Maximum Data Path at Slow Process Corner: g_TxFB[4].i_TxFB to TxFB_n<5> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X14Y3.OQ Tockq 1.158 TxFB<5> g_TxFB[4].i_TxFB Y13.O net (fanout=1) 0.438 TxFB<5> Y13.DIFFO_OUT Tiood 1.909 TxFB_p<5> g_FB[5].i_TxFB/OBUFTDS AB13.DIFFO_IN net (fanout=1) 0.000 g_FB[5].i_TxFB/SLAVEBUF.DIFFOUT AB13.PAD Tiodop 0.027 TxFB_n<5> ProtoComp374.DIFFO_INUSED.8 TxFB_n<5> ------------------------------------------------- --------------------------- Total 3.532ns (3.094ns logic, 0.438ns route) (87.6% logic, 12.4% route) -------------------------------------------------------------------------------- Slack (slowest paths): 0.607ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[4].i_TxFB_T (FF) Destination: TxFB_n<5> (PAD) Source Clock: TTCclkOut<1> rising at 3.404ns Requirement: 7.200ns Data Path Delay: 3.114ns (Levels of Logic = 2) Clock Path Delay: -0.200ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<1> to g_TxFB[4].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- K21.I Tiopi 1.387 TTC_CLK_p<1> TTC_CLK_p<1> g_TTCclkOut[1].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.5 BUFIO2_X3Y10.I net (fanout=1) 0.627 TTCclk_in<1> BUFIO2_X3Y10.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_1 SP6_BUFIO_INSERT_ML_BUFIO2_1 DCM_X0Y1.CLKIN net (fanout=1) 1.262 g_TTCclkOut[1].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y1.CLK0 Tdmcko_CLK -7.464 g_TTCclkOut[1].i_DCM_TTCclkOut g_TTCclkOut[1].i_DCM_TTCclkOut BUFGMUX_X2Y4.I0 net (fanout=1) 1.207 TTCclkOut_dcm<1> BUFGMUX_X2Y4.O Tgi0o 0.209 g_TTCclkOut[1].i_TTCclk_buf g_TTCclkOut[1].i_TTCclk_buf OLOGIC_X14Y3.CLK0 net (fanout=7) 2.382 TTCclkOut<1> ------------------------------------------------- --------------------------- Total -0.200ns (-5.678ns logic, 5.478ns route) Maximum Data Path at Slow Process Corner: g_TxFB[4].i_TxFB_T to TxFB_n<5> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X14Y3.TQ Tockq 0.740 TxFB<5> g_TxFB[4].i_TxFB_T Y13.T net (fanout=1) 0.438 TxFB_T<5> Y13.DIFFO_OUT Tiotd 1.909 TxFB_p<5> g_FB[5].i_TxFB/OBUFTDS AB13.DIFFO_IN net (fanout=1) 0.000 g_FB[5].i_TxFB/SLAVEBUF.DIFFOUT AB13.PAD Tiodop 0.027 TxFB_n<5> ProtoComp374.DIFFO_INUSED.8 TxFB_n<5> ------------------------------------------------- --------------------------- Total 3.114ns (2.676ns logic, 0.438ns route) (85.9% logic, 14.1% route) -------------------------------------------------------------------------------- Paths for end point TxFB_n<6> (AB12.PAD), 2 paths -------------------------------------------------------------------------------- Slack (slowest paths): 0.218ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[5].i_TxFB (FF) Destination: TxFB_n<6> (PAD) Source Clock: TTCclkOut<1> rising at 3.404ns Requirement: 7.200ns Data Path Delay: 3.481ns (Levels of Logic = 2) Clock Path Delay: -0.178ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<1> to g_TxFB[5].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- K21.I Tiopi 1.387 TTC_CLK_p<1> TTC_CLK_p<1> g_TTCclkOut[1].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.5 BUFIO2_X3Y10.I net (fanout=1) 0.627 TTCclk_in<1> BUFIO2_X3Y10.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_1 SP6_BUFIO_INSERT_ML_BUFIO2_1 DCM_X0Y1.CLKIN net (fanout=1) 1.262 g_TTCclkOut[1].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y1.CLK0 Tdmcko_CLK -7.464 g_TTCclkOut[1].i_DCM_TTCclkOut g_TTCclkOut[1].i_DCM_TTCclkOut BUFGMUX_X2Y4.I0 net (fanout=1) 1.207 TTCclkOut_dcm<1> BUFGMUX_X2Y4.O Tgi0o 0.209 g_TTCclkOut[1].i_TTCclk_buf g_TTCclkOut[1].i_TTCclk_buf OLOGIC_X13Y1.CLK0 net (fanout=7) 2.404 TTCclkOut<1> ------------------------------------------------- --------------------------- Total -0.178ns (-5.678ns logic, 5.500ns route) Maximum Data Path at Slow Process Corner: g_TxFB[5].i_TxFB to TxFB_n<6> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X13Y1.OQ Tockq 1.158 TxFB<6> g_TxFB[5].i_TxFB AA12.O net (fanout=1) 0.387 TxFB<6> AA12.DIFFO_OUT Tiood 1.909 TxFB_p<6> g_FB[6].i_TxFB/OBUFTDS AB12.DIFFO_IN net (fanout=1) 0.000 g_FB[6].i_TxFB/SLAVEBUF.DIFFOUT AB12.PAD Tiodop 0.027 TxFB_n<6> ProtoComp374.DIFFO_INUSED.9 TxFB_n<6> ------------------------------------------------- --------------------------- Total 3.481ns (3.094ns logic, 0.387ns route) (88.9% logic, 11.1% route) -------------------------------------------------------------------------------- Slack (slowest paths): 0.636ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[5].i_TxFB_T (FF) Destination: TxFB_n<6> (PAD) Source Clock: TTCclkOut<1> rising at 3.404ns Requirement: 7.200ns Data Path Delay: 3.063ns (Levels of Logic = 2) Clock Path Delay: -0.178ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<1> to g_TxFB[5].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- K21.I Tiopi 1.387 TTC_CLK_p<1> TTC_CLK_p<1> g_TTCclkOut[1].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.5 BUFIO2_X3Y10.I net (fanout=1) 0.627 TTCclk_in<1> BUFIO2_X3Y10.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_1 SP6_BUFIO_INSERT_ML_BUFIO2_1 DCM_X0Y1.CLKIN net (fanout=1) 1.262 g_TTCclkOut[1].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y1.CLK0 Tdmcko_CLK -7.464 g_TTCclkOut[1].i_DCM_TTCclkOut g_TTCclkOut[1].i_DCM_TTCclkOut BUFGMUX_X2Y4.I0 net (fanout=1) 1.207 TTCclkOut_dcm<1> BUFGMUX_X2Y4.O Tgi0o 0.209 g_TTCclkOut[1].i_TTCclk_buf g_TTCclkOut[1].i_TTCclk_buf OLOGIC_X13Y1.CLK0 net (fanout=7) 2.404 TTCclkOut<1> ------------------------------------------------- --------------------------- Total -0.178ns (-5.678ns logic, 5.500ns route) Maximum Data Path at Slow Process Corner: g_TxFB[5].i_TxFB_T to TxFB_n<6> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X13Y1.TQ Tockq 0.740 TxFB<6> g_TxFB[5].i_TxFB_T AA12.T net (fanout=1) 0.387 TxFB_T<6> AA12.DIFFO_OUT Tiotd 1.909 TxFB_p<6> g_FB[6].i_TxFB/OBUFTDS AB12.DIFFO_IN net (fanout=1) 0.000 g_FB[6].i_TxFB/SLAVEBUF.DIFFOUT AB12.PAD Tiodop 0.027 TxFB_n<6> ProtoComp374.DIFFO_INUSED.9 TxFB_n<6> ------------------------------------------------- --------------------------- Total 3.063ns (2.676ns logic, 0.387ns route) (87.4% logic, 12.6% route) -------------------------------------------------------------------------------- Fastest Paths: OFFSET = OUT 7.2 ns AFTER COMP "TTC_CLK_p<1>" "RISING"; -------------------------------------------------------------------------------- Paths for end point TxFB_p<6> (AA12.PAD), 2 paths -------------------------------------------------------------------------------- Delay (fastest paths): 4.536ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[5].i_TxFB (FF) Destination: TxFB_p<6> (PAD) Source Clock: TTCclkOut<1> rising at 3.404ns Data Path Delay: 1.292ns (Levels of Logic = 1) Clock Path Delay: 0.115ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<1> to g_TxFB[5].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- K21.I Tiopi 0.618 TTC_CLK_p<1> TTC_CLK_p<1> g_TTCclkOut[1].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.5 BUFIO2_X3Y10.I net (fanout=1) 0.204 TTCclk_in<1> BUFIO2_X3Y10.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 SP6_BUFIO_INSERT_ML_BUFIO2_1 DCM_X0Y1.CLKIN net (fanout=1) 0.402 g_TTCclkOut[1].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y1.CLK0 Tdmcko_CLK -2.640 g_TTCclkOut[1].i_DCM_TTCclkOut g_TTCclkOut[1].i_DCM_TTCclkOut BUFGMUX_X2Y4.I0 net (fanout=1) 0.394 TTCclkOut_dcm<1> BUFGMUX_X2Y4.O Tgi0o 0.059 g_TTCclkOut[1].i_TTCclk_buf g_TTCclkOut[1].i_TTCclk_buf OLOGIC_X13Y1.CLK0 net (fanout=7) 0.956 TTCclkOut<1> ------------------------------------------------- --------------------------- Total 0.115ns (-1.841ns logic, 1.956ns route) Minimum Data Path at Fast Process Corner: g_TxFB[5].i_TxFB to TxFB_p<6> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X13Y1.OQ Tockq 0.419 TxFB<6> g_TxFB[5].i_TxFB AA12.O net (fanout=1) 0.268 TxFB<6> AA12.PAD Tioop 0.605 TxFB_p<6> g_FB[6].i_TxFB/OBUFTDS TxFB_p<6> ------------------------------------------------- --------------------------- Total 1.292ns (1.024ns logic, 0.268ns route) (79.3% logic, 20.7% route) -------------------------------------------------------------------------------- Delay (fastest paths): 4.362ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[5].i_TxFB_T (FF) Destination: TxFB_p<6> (PAD) Source Clock: TTCclkOut<1> rising at 3.404ns Data Path Delay: 1.118ns (Levels of Logic = 1) Clock Path Delay: 0.115ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<1> to g_TxFB[5].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- K21.I Tiopi 0.618 TTC_CLK_p<1> TTC_CLK_p<1> g_TTCclkOut[1].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.5 BUFIO2_X3Y10.I net (fanout=1) 0.204 TTCclk_in<1> BUFIO2_X3Y10.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 SP6_BUFIO_INSERT_ML_BUFIO2_1 DCM_X0Y1.CLKIN net (fanout=1) 0.402 g_TTCclkOut[1].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y1.CLK0 Tdmcko_CLK -2.640 g_TTCclkOut[1].i_DCM_TTCclkOut g_TTCclkOut[1].i_DCM_TTCclkOut BUFGMUX_X2Y4.I0 net (fanout=1) 0.394 TTCclkOut_dcm<1> BUFGMUX_X2Y4.O Tgi0o 0.059 g_TTCclkOut[1].i_TTCclk_buf g_TTCclkOut[1].i_TTCclk_buf OLOGIC_X13Y1.CLK0 net (fanout=7) 0.956 TTCclkOut<1> ------------------------------------------------- --------------------------- Total 0.115ns (-1.841ns logic, 1.956ns route) Minimum Data Path at Fast Process Corner: g_TxFB[5].i_TxFB_T to TxFB_p<6> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X13Y1.TQ Tockq 0.245 TxFB<6> g_TxFB[5].i_TxFB_T AA12.T net (fanout=1) 0.268 TxFB_T<6> AA12.PAD Tiotp 0.605 TxFB_p<6> g_FB[6].i_TxFB/OBUFTDS TxFB_p<6> ------------------------------------------------- --------------------------- Total 1.118ns (0.850ns logic, 0.268ns route) (76.0% logic, 24.0% route) -------------------------------------------------------------------------------- Paths for end point TxFB_n<6> (AB12.PAD), 2 paths -------------------------------------------------------------------------------- Delay (fastest paths): 4.552ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[5].i_TxFB (FF) Destination: TxFB_n<6> (PAD) Source Clock: TTCclkOut<1> rising at 3.404ns Data Path Delay: 1.308ns (Levels of Logic = 2) Clock Path Delay: 0.115ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<1> to g_TxFB[5].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- K21.I Tiopi 0.618 TTC_CLK_p<1> TTC_CLK_p<1> g_TTCclkOut[1].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.5 BUFIO2_X3Y10.I net (fanout=1) 0.204 TTCclk_in<1> BUFIO2_X3Y10.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 SP6_BUFIO_INSERT_ML_BUFIO2_1 DCM_X0Y1.CLKIN net (fanout=1) 0.402 g_TTCclkOut[1].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y1.CLK0 Tdmcko_CLK -2.640 g_TTCclkOut[1].i_DCM_TTCclkOut g_TTCclkOut[1].i_DCM_TTCclkOut BUFGMUX_X2Y4.I0 net (fanout=1) 0.394 TTCclkOut_dcm<1> BUFGMUX_X2Y4.O Tgi0o 0.059 g_TTCclkOut[1].i_TTCclk_buf g_TTCclkOut[1].i_TTCclk_buf OLOGIC_X13Y1.CLK0 net (fanout=7) 0.956 TTCclkOut<1> ------------------------------------------------- --------------------------- Total 0.115ns (-1.841ns logic, 1.956ns route) Minimum Data Path at Fast Process Corner: g_TxFB[5].i_TxFB to TxFB_n<6> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X13Y1.OQ Tockq 0.419 TxFB<6> g_TxFB[5].i_TxFB AA12.O net (fanout=1) 0.268 TxFB<6> AA12.DIFFO_OUT Tiood 0.613 TxFB_p<6> g_FB[6].i_TxFB/OBUFTDS AB12.DIFFO_IN net (fanout=1) 0.000 g_FB[6].i_TxFB/SLAVEBUF.DIFFOUT AB12.PAD Tiodop 0.008 TxFB_n<6> ProtoComp374.DIFFO_INUSED.9 TxFB_n<6> ------------------------------------------------- --------------------------- Total 1.308ns (1.040ns logic, 0.268ns route) (79.5% logic, 20.5% route) -------------------------------------------------------------------------------- Delay (fastest paths): 4.378ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[5].i_TxFB_T (FF) Destination: TxFB_n<6> (PAD) Source Clock: TTCclkOut<1> rising at 3.404ns Data Path Delay: 1.134ns (Levels of Logic = 2) Clock Path Delay: 0.115ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<1> to g_TxFB[5].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- K21.I Tiopi 0.618 TTC_CLK_p<1> TTC_CLK_p<1> g_TTCclkOut[1].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.5 BUFIO2_X3Y10.I net (fanout=1) 0.204 TTCclk_in<1> BUFIO2_X3Y10.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 SP6_BUFIO_INSERT_ML_BUFIO2_1 DCM_X0Y1.CLKIN net (fanout=1) 0.402 g_TTCclkOut[1].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y1.CLK0 Tdmcko_CLK -2.640 g_TTCclkOut[1].i_DCM_TTCclkOut g_TTCclkOut[1].i_DCM_TTCclkOut BUFGMUX_X2Y4.I0 net (fanout=1) 0.394 TTCclkOut_dcm<1> BUFGMUX_X2Y4.O Tgi0o 0.059 g_TTCclkOut[1].i_TTCclk_buf g_TTCclkOut[1].i_TTCclk_buf OLOGIC_X13Y1.CLK0 net (fanout=7) 0.956 TTCclkOut<1> ------------------------------------------------- --------------------------- Total 0.115ns (-1.841ns logic, 1.956ns route) Minimum Data Path at Fast Process Corner: g_TxFB[5].i_TxFB_T to TxFB_n<6> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X13Y1.TQ Tockq 0.245 TxFB<6> g_TxFB[5].i_TxFB_T AA12.T net (fanout=1) 0.268 TxFB_T<6> AA12.DIFFO_OUT Tiotd 0.613 TxFB_p<6> g_FB[6].i_TxFB/OBUFTDS AB12.DIFFO_IN net (fanout=1) 0.000 g_FB[6].i_TxFB/SLAVEBUF.DIFFOUT AB12.PAD Tiodop 0.008 TxFB_n<6> ProtoComp374.DIFFO_INUSED.9 TxFB_n<6> ------------------------------------------------- --------------------------- Total 1.134ns (0.866ns logic, 0.268ns route) (76.4% logic, 23.6% route) -------------------------------------------------------------------------------- Paths for end point TxFB_p<4> (Y15.PAD), 2 paths -------------------------------------------------------------------------------- Delay (fastest paths): 4.565ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[3].i_TxFB (FF) Destination: TxFB_p<4> (PAD) Source Clock: TTCclkOut<1> rising at 3.404ns Data Path Delay: 1.343ns (Levels of Logic = 1) Clock Path Delay: 0.093ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<1> to g_TxFB[3].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- K21.I Tiopi 0.618 TTC_CLK_p<1> TTC_CLK_p<1> g_TTCclkOut[1].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.5 BUFIO2_X3Y10.I net (fanout=1) 0.204 TTCclk_in<1> BUFIO2_X3Y10.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 SP6_BUFIO_INSERT_ML_BUFIO2_1 DCM_X0Y1.CLKIN net (fanout=1) 0.402 g_TTCclkOut[1].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y1.CLK0 Tdmcko_CLK -2.640 g_TTCclkOut[1].i_DCM_TTCclkOut g_TTCclkOut[1].i_DCM_TTCclkOut BUFGMUX_X2Y4.I0 net (fanout=1) 0.394 TTCclkOut_dcm<1> BUFGMUX_X2Y4.O Tgi0o 0.059 g_TTCclkOut[1].i_TTCclk_buf g_TTCclkOut[1].i_TTCclk_buf OLOGIC_X16Y3.CLK0 net (fanout=7) 0.934 TTCclkOut<1> ------------------------------------------------- --------------------------- Total 0.093ns (-1.841ns logic, 1.934ns route) Minimum Data Path at Fast Process Corner: g_TxFB[3].i_TxFB to TxFB_p<4> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X16Y3.OQ Tockq 0.419 TxFB<4> g_TxFB[3].i_TxFB Y15.O net (fanout=1) 0.319 TxFB<4> Y15.PAD Tioop 0.605 TxFB_p<4> g_FB[4].i_TxFB/OBUFTDS TxFB_p<4> ------------------------------------------------- --------------------------- Total 1.343ns (1.024ns logic, 0.319ns route) (76.2% logic, 23.8% route) -------------------------------------------------------------------------------- Delay (fastest paths): 4.391ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[3].i_TxFB_T (FF) Destination: TxFB_p<4> (PAD) Source Clock: TTCclkOut<1> rising at 3.404ns Data Path Delay: 1.169ns (Levels of Logic = 1) Clock Path Delay: 0.093ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<1> to g_TxFB[3].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- K21.I Tiopi 0.618 TTC_CLK_p<1> TTC_CLK_p<1> g_TTCclkOut[1].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.5 BUFIO2_X3Y10.I net (fanout=1) 0.204 TTCclk_in<1> BUFIO2_X3Y10.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 SP6_BUFIO_INSERT_ML_BUFIO2_1 DCM_X0Y1.CLKIN net (fanout=1) 0.402 g_TTCclkOut[1].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y1.CLK0 Tdmcko_CLK -2.640 g_TTCclkOut[1].i_DCM_TTCclkOut g_TTCclkOut[1].i_DCM_TTCclkOut BUFGMUX_X2Y4.I0 net (fanout=1) 0.394 TTCclkOut_dcm<1> BUFGMUX_X2Y4.O Tgi0o 0.059 g_TTCclkOut[1].i_TTCclk_buf g_TTCclkOut[1].i_TTCclk_buf OLOGIC_X16Y3.CLK0 net (fanout=7) 0.934 TTCclkOut<1> ------------------------------------------------- --------------------------- Total 0.093ns (-1.841ns logic, 1.934ns route) Minimum Data Path at Fast Process Corner: g_TxFB[3].i_TxFB_T to TxFB_p<4> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X16Y3.TQ Tockq 0.245 TxFB<4> g_TxFB[3].i_TxFB_T Y15.T net (fanout=1) 0.319 TxFB_T<4> Y15.PAD Tiotp 0.605 TxFB_p<4> g_FB[4].i_TxFB/OBUFTDS TxFB_p<4> ------------------------------------------------- --------------------------- Total 1.169ns (0.850ns logic, 0.319ns route) (72.7% logic, 27.3% route) -------------------------------------------------------------------------------- ================================================================================ Timing constraint: OFFSET = OUT 7.2 ns AFTER COMP "TTC_CLK_p<1>" "FALLING"; For more information, see Offset Out Analysis in the Timing Closure User Guide (UG612). 12 paths analyzed, 6 endpoints analyzed, 0 failing endpoints 0 timing errors detected. Minimum allowable offset is 6.949ns. -------------------------------------------------------------------------------- Paths for end point TxFB_n<4> (AB15.PAD), 2 paths -------------------------------------------------------------------------------- Slack (slowest paths): 0.251ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[3].i_TxFB (FF) Destination: TxFB_n<4> (PAD) Source Clock: TTCclkOut<1> falling at 3.404ns Requirement: 7.200ns Data Path Delay: 3.532ns (Levels of Logic = 2) Clock Path Delay: -0.262ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<1> to g_TxFB[3].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- K21.I Tiopi 1.387 TTC_CLK_p<1> TTC_CLK_p<1> g_TTCclkOut[1].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.5 BUFIO2_X3Y10.I net (fanout=1) 0.627 TTCclk_in<1> BUFIO2_X3Y10.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_1 SP6_BUFIO_INSERT_ML_BUFIO2_1 DCM_X0Y1.CLKIN net (fanout=1) 1.262 g_TTCclkOut[1].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y1.CLK0 Tdmcko_CLK -7.464 g_TTCclkOut[1].i_DCM_TTCclkOut g_TTCclkOut[1].i_DCM_TTCclkOut BUFGMUX_X2Y4.I0 net (fanout=1) 1.207 TTCclkOut_dcm<1> BUFGMUX_X2Y4.O Tgi0o 0.209 g_TTCclkOut[1].i_TTCclk_buf g_TTCclkOut[1].i_TTCclk_buf OLOGIC_X16Y3.CLK1 net (fanout=7) 2.320 TTCclkOut<1> ------------------------------------------------- --------------------------- Total -0.262ns (-5.678ns logic, 5.416ns route) Maximum Data Path at Slow Process Corner: g_TxFB[3].i_TxFB to TxFB_n<4> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X16Y3.OQ Tockq 1.158 TxFB<4> g_TxFB[3].i_TxFB Y15.O net (fanout=1) 0.438 TxFB<4> Y15.DIFFO_OUT Tiood 1.909 TxFB_p<4> g_FB[4].i_TxFB/OBUFTDS AB15.DIFFO_IN net (fanout=1) 0.000 g_FB[4].i_TxFB/SLAVEBUF.DIFFOUT AB15.PAD Tiodop 0.027 TxFB_n<4> ProtoComp374.DIFFO_INUSED.7 TxFB_n<4> ------------------------------------------------- --------------------------- Total 3.532ns (3.094ns logic, 0.438ns route) (87.6% logic, 12.4% route) -------------------------------------------------------------------------------- Slack (slowest paths): 0.669ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[3].i_TxFB_T (FF) Destination: TxFB_n<4> (PAD) Source Clock: TTCclkOut<1> falling at 3.404ns Requirement: 7.200ns Data Path Delay: 3.114ns (Levels of Logic = 2) Clock Path Delay: -0.262ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<1> to g_TxFB[3].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- K21.I Tiopi 1.387 TTC_CLK_p<1> TTC_CLK_p<1> g_TTCclkOut[1].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.5 BUFIO2_X3Y10.I net (fanout=1) 0.627 TTCclk_in<1> BUFIO2_X3Y10.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_1 SP6_BUFIO_INSERT_ML_BUFIO2_1 DCM_X0Y1.CLKIN net (fanout=1) 1.262 g_TTCclkOut[1].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y1.CLK0 Tdmcko_CLK -7.464 g_TTCclkOut[1].i_DCM_TTCclkOut g_TTCclkOut[1].i_DCM_TTCclkOut BUFGMUX_X2Y4.I0 net (fanout=1) 1.207 TTCclkOut_dcm<1> BUFGMUX_X2Y4.O Tgi0o 0.209 g_TTCclkOut[1].i_TTCclk_buf g_TTCclkOut[1].i_TTCclk_buf OLOGIC_X16Y3.CLK1 net (fanout=7) 2.320 TTCclkOut<1> ------------------------------------------------- --------------------------- Total -0.262ns (-5.678ns logic, 5.416ns route) Maximum Data Path at Slow Process Corner: g_TxFB[3].i_TxFB_T to TxFB_n<4> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X16Y3.TQ Tockq 0.740 TxFB<4> g_TxFB[3].i_TxFB_T Y15.T net (fanout=1) 0.438 TxFB_T<4> Y15.DIFFO_OUT Tiotd 1.909 TxFB_p<4> g_FB[4].i_TxFB/OBUFTDS AB15.DIFFO_IN net (fanout=1) 0.000 g_FB[4].i_TxFB/SLAVEBUF.DIFFOUT AB15.PAD Tiodop 0.027 TxFB_n<4> ProtoComp374.DIFFO_INUSED.7 TxFB_n<4> ------------------------------------------------- --------------------------- Total 3.114ns (2.676ns logic, 0.438ns route) (85.9% logic, 14.1% route) -------------------------------------------------------------------------------- Paths for end point TxFB_n<5> (AB13.PAD), 2 paths -------------------------------------------------------------------------------- Slack (slowest paths): 0.251ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[4].i_TxFB (FF) Destination: TxFB_n<5> (PAD) Source Clock: TTCclkOut<1> falling at 3.404ns Requirement: 7.200ns Data Path Delay: 3.532ns (Levels of Logic = 2) Clock Path Delay: -0.262ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<1> to g_TxFB[4].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- K21.I Tiopi 1.387 TTC_CLK_p<1> TTC_CLK_p<1> g_TTCclkOut[1].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.5 BUFIO2_X3Y10.I net (fanout=1) 0.627 TTCclk_in<1> BUFIO2_X3Y10.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_1 SP6_BUFIO_INSERT_ML_BUFIO2_1 DCM_X0Y1.CLKIN net (fanout=1) 1.262 g_TTCclkOut[1].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y1.CLK0 Tdmcko_CLK -7.464 g_TTCclkOut[1].i_DCM_TTCclkOut g_TTCclkOut[1].i_DCM_TTCclkOut BUFGMUX_X2Y4.I0 net (fanout=1) 1.207 TTCclkOut_dcm<1> BUFGMUX_X2Y4.O Tgi0o 0.209 g_TTCclkOut[1].i_TTCclk_buf g_TTCclkOut[1].i_TTCclk_buf OLOGIC_X14Y3.CLK1 net (fanout=7) 2.320 TTCclkOut<1> ------------------------------------------------- --------------------------- Total -0.262ns (-5.678ns logic, 5.416ns route) Maximum Data Path at Slow Process Corner: g_TxFB[4].i_TxFB to TxFB_n<5> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X14Y3.OQ Tockq 1.158 TxFB<5> g_TxFB[4].i_TxFB Y13.O net (fanout=1) 0.438 TxFB<5> Y13.DIFFO_OUT Tiood 1.909 TxFB_p<5> g_FB[5].i_TxFB/OBUFTDS AB13.DIFFO_IN net (fanout=1) 0.000 g_FB[5].i_TxFB/SLAVEBUF.DIFFOUT AB13.PAD Tiodop 0.027 TxFB_n<5> ProtoComp374.DIFFO_INUSED.8 TxFB_n<5> ------------------------------------------------- --------------------------- Total 3.532ns (3.094ns logic, 0.438ns route) (87.6% logic, 12.4% route) -------------------------------------------------------------------------------- Slack (slowest paths): 0.669ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[4].i_TxFB_T (FF) Destination: TxFB_n<5> (PAD) Source Clock: TTCclkOut<1> falling at 3.404ns Requirement: 7.200ns Data Path Delay: 3.114ns (Levels of Logic = 2) Clock Path Delay: -0.262ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<1> to g_TxFB[4].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- K21.I Tiopi 1.387 TTC_CLK_p<1> TTC_CLK_p<1> g_TTCclkOut[1].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.5 BUFIO2_X3Y10.I net (fanout=1) 0.627 TTCclk_in<1> BUFIO2_X3Y10.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_1 SP6_BUFIO_INSERT_ML_BUFIO2_1 DCM_X0Y1.CLKIN net (fanout=1) 1.262 g_TTCclkOut[1].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y1.CLK0 Tdmcko_CLK -7.464 g_TTCclkOut[1].i_DCM_TTCclkOut g_TTCclkOut[1].i_DCM_TTCclkOut BUFGMUX_X2Y4.I0 net (fanout=1) 1.207 TTCclkOut_dcm<1> BUFGMUX_X2Y4.O Tgi0o 0.209 g_TTCclkOut[1].i_TTCclk_buf g_TTCclkOut[1].i_TTCclk_buf OLOGIC_X14Y3.CLK1 net (fanout=7) 2.320 TTCclkOut<1> ------------------------------------------------- --------------------------- Total -0.262ns (-5.678ns logic, 5.416ns route) Maximum Data Path at Slow Process Corner: g_TxFB[4].i_TxFB_T to TxFB_n<5> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X14Y3.TQ Tockq 0.740 TxFB<5> g_TxFB[4].i_TxFB_T Y13.T net (fanout=1) 0.438 TxFB_T<5> Y13.DIFFO_OUT Tiotd 1.909 TxFB_p<5> g_FB[5].i_TxFB/OBUFTDS AB13.DIFFO_IN net (fanout=1) 0.000 g_FB[5].i_TxFB/SLAVEBUF.DIFFOUT AB13.PAD Tiodop 0.027 TxFB_n<5> ProtoComp374.DIFFO_INUSED.8 TxFB_n<5> ------------------------------------------------- --------------------------- Total 3.114ns (2.676ns logic, 0.438ns route) (85.9% logic, 14.1% route) -------------------------------------------------------------------------------- Paths for end point TxFB_n<6> (AB12.PAD), 2 paths -------------------------------------------------------------------------------- Slack (slowest paths): 0.279ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[5].i_TxFB (FF) Destination: TxFB_n<6> (PAD) Source Clock: TTCclkOut<1> falling at 3.404ns Requirement: 7.200ns Data Path Delay: 3.481ns (Levels of Logic = 2) Clock Path Delay: -0.239ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<1> to g_TxFB[5].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- K21.I Tiopi 1.387 TTC_CLK_p<1> TTC_CLK_p<1> g_TTCclkOut[1].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.5 BUFIO2_X3Y10.I net (fanout=1) 0.627 TTCclk_in<1> BUFIO2_X3Y10.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_1 SP6_BUFIO_INSERT_ML_BUFIO2_1 DCM_X0Y1.CLKIN net (fanout=1) 1.262 g_TTCclkOut[1].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y1.CLK0 Tdmcko_CLK -7.464 g_TTCclkOut[1].i_DCM_TTCclkOut g_TTCclkOut[1].i_DCM_TTCclkOut BUFGMUX_X2Y4.I0 net (fanout=1) 1.207 TTCclkOut_dcm<1> BUFGMUX_X2Y4.O Tgi0o 0.209 g_TTCclkOut[1].i_TTCclk_buf g_TTCclkOut[1].i_TTCclk_buf OLOGIC_X13Y1.CLK1 net (fanout=7) 2.343 TTCclkOut<1> ------------------------------------------------- --------------------------- Total -0.239ns (-5.678ns logic, 5.439ns route) Maximum Data Path at Slow Process Corner: g_TxFB[5].i_TxFB to TxFB_n<6> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X13Y1.OQ Tockq 1.158 TxFB<6> g_TxFB[5].i_TxFB AA12.O net (fanout=1) 0.387 TxFB<6> AA12.DIFFO_OUT Tiood 1.909 TxFB_p<6> g_FB[6].i_TxFB/OBUFTDS AB12.DIFFO_IN net (fanout=1) 0.000 g_FB[6].i_TxFB/SLAVEBUF.DIFFOUT AB12.PAD Tiodop 0.027 TxFB_n<6> ProtoComp374.DIFFO_INUSED.9 TxFB_n<6> ------------------------------------------------- --------------------------- Total 3.481ns (3.094ns logic, 0.387ns route) (88.9% logic, 11.1% route) -------------------------------------------------------------------------------- Slack (slowest paths): 0.697ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[5].i_TxFB_T (FF) Destination: TxFB_n<6> (PAD) Source Clock: TTCclkOut<1> falling at 3.404ns Requirement: 7.200ns Data Path Delay: 3.063ns (Levels of Logic = 2) Clock Path Delay: -0.239ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<1> to g_TxFB[5].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- K21.I Tiopi 1.387 TTC_CLK_p<1> TTC_CLK_p<1> g_TTCclkOut[1].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.5 BUFIO2_X3Y10.I net (fanout=1) 0.627 TTCclk_in<1> BUFIO2_X3Y10.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_1 SP6_BUFIO_INSERT_ML_BUFIO2_1 DCM_X0Y1.CLKIN net (fanout=1) 1.262 g_TTCclkOut[1].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y1.CLK0 Tdmcko_CLK -7.464 g_TTCclkOut[1].i_DCM_TTCclkOut g_TTCclkOut[1].i_DCM_TTCclkOut BUFGMUX_X2Y4.I0 net (fanout=1) 1.207 TTCclkOut_dcm<1> BUFGMUX_X2Y4.O Tgi0o 0.209 g_TTCclkOut[1].i_TTCclk_buf g_TTCclkOut[1].i_TTCclk_buf OLOGIC_X13Y1.CLK1 net (fanout=7) 2.343 TTCclkOut<1> ------------------------------------------------- --------------------------- Total -0.239ns (-5.678ns logic, 5.439ns route) Maximum Data Path at Slow Process Corner: g_TxFB[5].i_TxFB_T to TxFB_n<6> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X13Y1.TQ Tockq 0.740 TxFB<6> g_TxFB[5].i_TxFB_T AA12.T net (fanout=1) 0.387 TxFB_T<6> AA12.DIFFO_OUT Tiotd 1.909 TxFB_p<6> g_FB[6].i_TxFB/OBUFTDS AB12.DIFFO_IN net (fanout=1) 0.000 g_FB[6].i_TxFB/SLAVEBUF.DIFFOUT AB12.PAD Tiodop 0.027 TxFB_n<6> ProtoComp374.DIFFO_INUSED.9 TxFB_n<6> ------------------------------------------------- --------------------------- Total 3.063ns (2.676ns logic, 0.387ns route) (87.4% logic, 12.6% route) -------------------------------------------------------------------------------- Fastest Paths: OFFSET = OUT 7.2 ns AFTER COMP "TTC_CLK_p<1>" "FALLING"; -------------------------------------------------------------------------------- Paths for end point TxFB_p<6> (AA12.PAD), 2 paths -------------------------------------------------------------------------------- Delay (fastest paths): 4.471ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[5].i_TxFB (FF) Destination: TxFB_p<6> (PAD) Source Clock: TTCclkOut<1> falling at 3.404ns Data Path Delay: 1.298ns (Levels of Logic = 1) Clock Path Delay: 0.044ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<1> to g_TxFB[5].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- K21.I Tiopi 0.618 TTC_CLK_p<1> TTC_CLK_p<1> g_TTCclkOut[1].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.5 BUFIO2_X3Y10.I net (fanout=1) 0.204 TTCclk_in<1> BUFIO2_X3Y10.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 SP6_BUFIO_INSERT_ML_BUFIO2_1 DCM_X0Y1.CLKIN net (fanout=1) 0.402 g_TTCclkOut[1].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y1.CLK0 Tdmcko_CLK -2.640 g_TTCclkOut[1].i_DCM_TTCclkOut g_TTCclkOut[1].i_DCM_TTCclkOut BUFGMUX_X2Y4.I0 net (fanout=1) 0.394 TTCclkOut_dcm<1> BUFGMUX_X2Y4.O Tgi0o 0.059 g_TTCclkOut[1].i_TTCclk_buf g_TTCclkOut[1].i_TTCclk_buf OLOGIC_X13Y1.CLK1 net (fanout=7) 0.885 TTCclkOut<1> ------------------------------------------------- --------------------------- Total 0.044ns (-1.841ns logic, 1.885ns route) Minimum Data Path at Fast Process Corner: g_TxFB[5].i_TxFB to TxFB_p<6> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X13Y1.OQ Tockq 0.425 TxFB<6> g_TxFB[5].i_TxFB AA12.O net (fanout=1) 0.268 TxFB<6> AA12.PAD Tioop 0.605 TxFB_p<6> g_FB[6].i_TxFB/OBUFTDS TxFB_p<6> ------------------------------------------------- --------------------------- Total 1.298ns (1.030ns logic, 0.268ns route) (79.4% logic, 20.6% route) -------------------------------------------------------------------------------- Delay (fastest paths): 4.297ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[5].i_TxFB_T (FF) Destination: TxFB_p<6> (PAD) Source Clock: TTCclkOut<1> falling at 3.404ns Data Path Delay: 1.124ns (Levels of Logic = 1) Clock Path Delay: 0.044ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<1> to g_TxFB[5].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- K21.I Tiopi 0.618 TTC_CLK_p<1> TTC_CLK_p<1> g_TTCclkOut[1].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.5 BUFIO2_X3Y10.I net (fanout=1) 0.204 TTCclk_in<1> BUFIO2_X3Y10.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 SP6_BUFIO_INSERT_ML_BUFIO2_1 DCM_X0Y1.CLKIN net (fanout=1) 0.402 g_TTCclkOut[1].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y1.CLK0 Tdmcko_CLK -2.640 g_TTCclkOut[1].i_DCM_TTCclkOut g_TTCclkOut[1].i_DCM_TTCclkOut BUFGMUX_X2Y4.I0 net (fanout=1) 0.394 TTCclkOut_dcm<1> BUFGMUX_X2Y4.O Tgi0o 0.059 g_TTCclkOut[1].i_TTCclk_buf g_TTCclkOut[1].i_TTCclk_buf OLOGIC_X13Y1.CLK1 net (fanout=7) 0.885 TTCclkOut<1> ------------------------------------------------- --------------------------- Total 0.044ns (-1.841ns logic, 1.885ns route) Minimum Data Path at Fast Process Corner: g_TxFB[5].i_TxFB_T to TxFB_p<6> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X13Y1.TQ Tockq 0.251 TxFB<6> g_TxFB[5].i_TxFB_T AA12.T net (fanout=1) 0.268 TxFB_T<6> AA12.PAD Tiotp 0.605 TxFB_p<6> g_FB[6].i_TxFB/OBUFTDS TxFB_p<6> ------------------------------------------------- --------------------------- Total 1.124ns (0.856ns logic, 0.268ns route) (76.2% logic, 23.8% route) -------------------------------------------------------------------------------- Paths for end point TxFB_n<6> (AB12.PAD), 2 paths -------------------------------------------------------------------------------- Delay (fastest paths): 4.487ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[5].i_TxFB (FF) Destination: TxFB_n<6> (PAD) Source Clock: TTCclkOut<1> falling at 3.404ns Data Path Delay: 1.314ns (Levels of Logic = 2) Clock Path Delay: 0.044ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<1> to g_TxFB[5].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- K21.I Tiopi 0.618 TTC_CLK_p<1> TTC_CLK_p<1> g_TTCclkOut[1].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.5 BUFIO2_X3Y10.I net (fanout=1) 0.204 TTCclk_in<1> BUFIO2_X3Y10.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 SP6_BUFIO_INSERT_ML_BUFIO2_1 DCM_X0Y1.CLKIN net (fanout=1) 0.402 g_TTCclkOut[1].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y1.CLK0 Tdmcko_CLK -2.640 g_TTCclkOut[1].i_DCM_TTCclkOut g_TTCclkOut[1].i_DCM_TTCclkOut BUFGMUX_X2Y4.I0 net (fanout=1) 0.394 TTCclkOut_dcm<1> BUFGMUX_X2Y4.O Tgi0o 0.059 g_TTCclkOut[1].i_TTCclk_buf g_TTCclkOut[1].i_TTCclk_buf OLOGIC_X13Y1.CLK1 net (fanout=7) 0.885 TTCclkOut<1> ------------------------------------------------- --------------------------- Total 0.044ns (-1.841ns logic, 1.885ns route) Minimum Data Path at Fast Process Corner: g_TxFB[5].i_TxFB to TxFB_n<6> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X13Y1.OQ Tockq 0.425 TxFB<6> g_TxFB[5].i_TxFB AA12.O net (fanout=1) 0.268 TxFB<6> AA12.DIFFO_OUT Tiood 0.613 TxFB_p<6> g_FB[6].i_TxFB/OBUFTDS AB12.DIFFO_IN net (fanout=1) 0.000 g_FB[6].i_TxFB/SLAVEBUF.DIFFOUT AB12.PAD Tiodop 0.008 TxFB_n<6> ProtoComp374.DIFFO_INUSED.9 TxFB_n<6> ------------------------------------------------- --------------------------- Total 1.314ns (1.046ns logic, 0.268ns route) (79.6% logic, 20.4% route) -------------------------------------------------------------------------------- Delay (fastest paths): 4.313ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[5].i_TxFB_T (FF) Destination: TxFB_n<6> (PAD) Source Clock: TTCclkOut<1> falling at 3.404ns Data Path Delay: 1.140ns (Levels of Logic = 2) Clock Path Delay: 0.044ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<1> to g_TxFB[5].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- K21.I Tiopi 0.618 TTC_CLK_p<1> TTC_CLK_p<1> g_TTCclkOut[1].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.5 BUFIO2_X3Y10.I net (fanout=1) 0.204 TTCclk_in<1> BUFIO2_X3Y10.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 SP6_BUFIO_INSERT_ML_BUFIO2_1 DCM_X0Y1.CLKIN net (fanout=1) 0.402 g_TTCclkOut[1].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y1.CLK0 Tdmcko_CLK -2.640 g_TTCclkOut[1].i_DCM_TTCclkOut g_TTCclkOut[1].i_DCM_TTCclkOut BUFGMUX_X2Y4.I0 net (fanout=1) 0.394 TTCclkOut_dcm<1> BUFGMUX_X2Y4.O Tgi0o 0.059 g_TTCclkOut[1].i_TTCclk_buf g_TTCclkOut[1].i_TTCclk_buf OLOGIC_X13Y1.CLK1 net (fanout=7) 0.885 TTCclkOut<1> ------------------------------------------------- --------------------------- Total 0.044ns (-1.841ns logic, 1.885ns route) Minimum Data Path at Fast Process Corner: g_TxFB[5].i_TxFB_T to TxFB_n<6> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X13Y1.TQ Tockq 0.251 TxFB<6> g_TxFB[5].i_TxFB_T AA12.T net (fanout=1) 0.268 TxFB_T<6> AA12.DIFFO_OUT Tiotd 0.613 TxFB_p<6> g_FB[6].i_TxFB/OBUFTDS AB12.DIFFO_IN net (fanout=1) 0.000 g_FB[6].i_TxFB/SLAVEBUF.DIFFOUT AB12.PAD Tiodop 0.008 TxFB_n<6> ProtoComp374.DIFFO_INUSED.9 TxFB_n<6> ------------------------------------------------- --------------------------- Total 1.140ns (0.872ns logic, 0.268ns route) (76.5% logic, 23.5% route) -------------------------------------------------------------------------------- Paths for end point TxFB_p<4> (Y15.PAD), 2 paths -------------------------------------------------------------------------------- Delay (fastest paths): 4.499ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[3].i_TxFB (FF) Destination: TxFB_p<4> (PAD) Source Clock: TTCclkOut<1> falling at 3.404ns Data Path Delay: 1.349ns (Levels of Logic = 1) Clock Path Delay: 0.021ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<1> to g_TxFB[3].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- K21.I Tiopi 0.618 TTC_CLK_p<1> TTC_CLK_p<1> g_TTCclkOut[1].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.5 BUFIO2_X3Y10.I net (fanout=1) 0.204 TTCclk_in<1> BUFIO2_X3Y10.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 SP6_BUFIO_INSERT_ML_BUFIO2_1 DCM_X0Y1.CLKIN net (fanout=1) 0.402 g_TTCclkOut[1].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y1.CLK0 Tdmcko_CLK -2.640 g_TTCclkOut[1].i_DCM_TTCclkOut g_TTCclkOut[1].i_DCM_TTCclkOut BUFGMUX_X2Y4.I0 net (fanout=1) 0.394 TTCclkOut_dcm<1> BUFGMUX_X2Y4.O Tgi0o 0.059 g_TTCclkOut[1].i_TTCclk_buf g_TTCclkOut[1].i_TTCclk_buf OLOGIC_X16Y3.CLK1 net (fanout=7) 0.862 TTCclkOut<1> ------------------------------------------------- --------------------------- Total 0.021ns (-1.841ns logic, 1.862ns route) Minimum Data Path at Fast Process Corner: g_TxFB[3].i_TxFB to TxFB_p<4> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X16Y3.OQ Tockq 0.425 TxFB<4> g_TxFB[3].i_TxFB Y15.O net (fanout=1) 0.319 TxFB<4> Y15.PAD Tioop 0.605 TxFB_p<4> g_FB[4].i_TxFB/OBUFTDS TxFB_p<4> ------------------------------------------------- --------------------------- Total 1.349ns (1.030ns logic, 0.319ns route) (76.4% logic, 23.6% route) -------------------------------------------------------------------------------- Delay (fastest paths): 4.325ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[3].i_TxFB_T (FF) Destination: TxFB_p<4> (PAD) Source Clock: TTCclkOut<1> falling at 3.404ns Data Path Delay: 1.175ns (Levels of Logic = 1) Clock Path Delay: 0.021ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<1> to g_TxFB[3].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- K21.I Tiopi 0.618 TTC_CLK_p<1> TTC_CLK_p<1> g_TTCclkOut[1].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.5 BUFIO2_X3Y10.I net (fanout=1) 0.204 TTCclk_in<1> BUFIO2_X3Y10.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_1 SP6_BUFIO_INSERT_ML_BUFIO2_1 DCM_X0Y1.CLKIN net (fanout=1) 0.402 g_TTCclkOut[1].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y1.CLK0 Tdmcko_CLK -2.640 g_TTCclkOut[1].i_DCM_TTCclkOut g_TTCclkOut[1].i_DCM_TTCclkOut BUFGMUX_X2Y4.I0 net (fanout=1) 0.394 TTCclkOut_dcm<1> BUFGMUX_X2Y4.O Tgi0o 0.059 g_TTCclkOut[1].i_TTCclk_buf g_TTCclkOut[1].i_TTCclk_buf OLOGIC_X16Y3.CLK1 net (fanout=7) 0.862 TTCclkOut<1> ------------------------------------------------- --------------------------- Total 0.021ns (-1.841ns logic, 1.862ns route) Minimum Data Path at Fast Process Corner: g_TxFB[3].i_TxFB_T to TxFB_p<4> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X16Y3.TQ Tockq 0.251 TxFB<4> g_TxFB[3].i_TxFB_T Y15.T net (fanout=1) 0.319 TxFB_T<4> Y15.PAD Tiotp 0.605 TxFB_p<4> g_FB[4].i_TxFB/OBUFTDS TxFB_p<4> ------------------------------------------------- --------------------------- Total 1.175ns (0.856ns logic, 0.319ns route) (72.9% logic, 27.1% route) -------------------------------------------------------------------------------- ================================================================================ Timing constraint: OFFSET = OUT 7.2 ns AFTER COMP "TTC_CLK_p<2>" "RISING"; For more information, see Offset Out Analysis in the Timing Closure User Guide (UG612). 12 paths analyzed, 6 endpoints analyzed, 0 failing endpoints 0 timing errors detected. Minimum allowable offset is 6.963ns. -------------------------------------------------------------------------------- Paths for end point TxFB_n<7> (AB11.PAD), 2 paths -------------------------------------------------------------------------------- Slack (slowest paths): 0.237ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[6].i_TxFB (FF) Destination: TxFB_n<7> (PAD) Source Clock: TTCclkOut<2> rising at 3.404ns Requirement: 7.200ns Data Path Delay: 3.532ns (Levels of Logic = 2) Clock Path Delay: -0.248ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<2> to g_TxFB[6].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- L3.I Tiopi 1.387 TTC_CLK_p<2> TTC_CLK_p<2> g_TTCclkOut[2].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.6 BUFIO2_X0Y16.I net (fanout=1) 0.645 TTCclk_in<2> BUFIO2_X0Y16.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_2 SP6_BUFIO_INSERT_ML_BUFIO2_2 DCM_X0Y4.CLKIN net (fanout=1) 1.248 g_TTCclkOut[2].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y4.CLK0 Tdmcko_CLK -7.074 g_TTCclkOut[2].i_DCM_TTCclkOut g_TTCclkOut[2].i_DCM_TTCclkOut BUFGMUX_X2Y2.I0 net (fanout=1) 0.743 TTCclkOut_dcm<2> BUFGMUX_X2Y2.O Tgi0o 0.209 g_TTCclkOut[2].i_TTCclk_buf g_TTCclkOut[2].i_TTCclk_buf OLOGIC_X13Y3.CLK0 net (fanout=7) 2.404 TTCclkOut<2> ------------------------------------------------- --------------------------- Total -0.248ns (-5.288ns logic, 5.040ns route) Maximum Data Path at Slow Process Corner: g_TxFB[6].i_TxFB to TxFB_n<7> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X13Y3.OQ Tockq 1.158 TxFB<7> g_TxFB[6].i_TxFB Y11.O net (fanout=1) 0.438 TxFB<7> Y11.DIFFO_OUT Tiood 1.909 TxFB_p<7> g_FB[7].i_TxFB/OBUFTDS AB11.DIFFO_IN net (fanout=1) 0.000 g_FB[7].i_TxFB/SLAVEBUF.DIFFOUT AB11.PAD Tiodop 0.027 TxFB_n<7> ProtoComp374.DIFFO_INUSED.10 TxFB_n<7> ------------------------------------------------- --------------------------- Total 3.532ns (3.094ns logic, 0.438ns route) (87.6% logic, 12.4% route) -------------------------------------------------------------------------------- Slack (slowest paths): 0.655ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[6].i_TxFB_T (FF) Destination: TxFB_n<7> (PAD) Source Clock: TTCclkOut<2> rising at 3.404ns Requirement: 7.200ns Data Path Delay: 3.114ns (Levels of Logic = 2) Clock Path Delay: -0.248ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<2> to g_TxFB[6].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- L3.I Tiopi 1.387 TTC_CLK_p<2> TTC_CLK_p<2> g_TTCclkOut[2].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.6 BUFIO2_X0Y16.I net (fanout=1) 0.645 TTCclk_in<2> BUFIO2_X0Y16.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_2 SP6_BUFIO_INSERT_ML_BUFIO2_2 DCM_X0Y4.CLKIN net (fanout=1) 1.248 g_TTCclkOut[2].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y4.CLK0 Tdmcko_CLK -7.074 g_TTCclkOut[2].i_DCM_TTCclkOut g_TTCclkOut[2].i_DCM_TTCclkOut BUFGMUX_X2Y2.I0 net (fanout=1) 0.743 TTCclkOut_dcm<2> BUFGMUX_X2Y2.O Tgi0o 0.209 g_TTCclkOut[2].i_TTCclk_buf g_TTCclkOut[2].i_TTCclk_buf OLOGIC_X13Y3.CLK0 net (fanout=7) 2.404 TTCclkOut<2> ------------------------------------------------- --------------------------- Total -0.248ns (-5.288ns logic, 5.040ns route) Maximum Data Path at Slow Process Corner: g_TxFB[6].i_TxFB_T to TxFB_n<7> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X13Y3.TQ Tockq 0.740 TxFB<7> g_TxFB[6].i_TxFB_T Y11.T net (fanout=1) 0.438 TxFB_T<7> Y11.DIFFO_OUT Tiotd 1.909 TxFB_p<7> g_FB[7].i_TxFB/OBUFTDS AB11.DIFFO_IN net (fanout=1) 0.000 g_FB[7].i_TxFB/SLAVEBUF.DIFFOUT AB11.PAD Tiodop 0.027 TxFB_n<7> ProtoComp374.DIFFO_INUSED.10 TxFB_n<7> ------------------------------------------------- --------------------------- Total 3.114ns (2.676ns logic, 0.438ns route) (85.9% logic, 14.1% route) -------------------------------------------------------------------------------- Paths for end point TxFB_n<8> (AB10.PAD), 2 paths -------------------------------------------------------------------------------- Slack (slowest paths): 0.237ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[7].i_TxFB (FF) Destination: TxFB_n<8> (PAD) Source Clock: TTCclkOut<2> rising at 3.404ns Requirement: 7.200ns Data Path Delay: 3.532ns (Levels of Logic = 2) Clock Path Delay: -0.248ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<2> to g_TxFB[7].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- L3.I Tiopi 1.387 TTC_CLK_p<2> TTC_CLK_p<2> g_TTCclkOut[2].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.6 BUFIO2_X0Y16.I net (fanout=1) 0.645 TTCclk_in<2> BUFIO2_X0Y16.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_2 SP6_BUFIO_INSERT_ML_BUFIO2_2 DCM_X0Y4.CLKIN net (fanout=1) 1.248 g_TTCclkOut[2].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y4.CLK0 Tdmcko_CLK -7.074 g_TTCclkOut[2].i_DCM_TTCclkOut g_TTCclkOut[2].i_DCM_TTCclkOut BUFGMUX_X2Y2.I0 net (fanout=1) 0.743 TTCclkOut_dcm<2> BUFGMUX_X2Y2.O Tgi0o 0.209 g_TTCclkOut[2].i_TTCclk_buf g_TTCclkOut[2].i_TTCclk_buf OLOGIC_X12Y3.CLK0 net (fanout=7) 2.404 TTCclkOut<2> ------------------------------------------------- --------------------------- Total -0.248ns (-5.288ns logic, 5.040ns route) Maximum Data Path at Slow Process Corner: g_TxFB[7].i_TxFB to TxFB_n<8> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X12Y3.OQ Tockq 1.158 TxFB<8> g_TxFB[7].i_TxFB AA10.O net (fanout=1) 0.438 TxFB<8> AA10.DIFFO_OUT Tiood 1.909 TxFB_p<8> g_FB[8].i_TxFB/OBUFTDS AB10.DIFFO_IN net (fanout=1) 0.000 g_FB[8].i_TxFB/SLAVEBUF.DIFFOUT AB10.PAD Tiodop 0.027 TxFB_n<8> ProtoComp374.DIFFO_INUSED.11 TxFB_n<8> ------------------------------------------------- --------------------------- Total 3.532ns (3.094ns logic, 0.438ns route) (87.6% logic, 12.4% route) -------------------------------------------------------------------------------- Slack (slowest paths): 0.655ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[7].i_TxFB_T (FF) Destination: TxFB_n<8> (PAD) Source Clock: TTCclkOut<2> rising at 3.404ns Requirement: 7.200ns Data Path Delay: 3.114ns (Levels of Logic = 2) Clock Path Delay: -0.248ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<2> to g_TxFB[7].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- L3.I Tiopi 1.387 TTC_CLK_p<2> TTC_CLK_p<2> g_TTCclkOut[2].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.6 BUFIO2_X0Y16.I net (fanout=1) 0.645 TTCclk_in<2> BUFIO2_X0Y16.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_2 SP6_BUFIO_INSERT_ML_BUFIO2_2 DCM_X0Y4.CLKIN net (fanout=1) 1.248 g_TTCclkOut[2].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y4.CLK0 Tdmcko_CLK -7.074 g_TTCclkOut[2].i_DCM_TTCclkOut g_TTCclkOut[2].i_DCM_TTCclkOut BUFGMUX_X2Y2.I0 net (fanout=1) 0.743 TTCclkOut_dcm<2> BUFGMUX_X2Y2.O Tgi0o 0.209 g_TTCclkOut[2].i_TTCclk_buf g_TTCclkOut[2].i_TTCclk_buf OLOGIC_X12Y3.CLK0 net (fanout=7) 2.404 TTCclkOut<2> ------------------------------------------------- --------------------------- Total -0.248ns (-5.288ns logic, 5.040ns route) Maximum Data Path at Slow Process Corner: g_TxFB[7].i_TxFB_T to TxFB_n<8> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X12Y3.TQ Tockq 0.740 TxFB<8> g_TxFB[7].i_TxFB_T AA10.T net (fanout=1) 0.438 TxFB_T<8> AA10.DIFFO_OUT Tiotd 1.909 TxFB_p<8> g_FB[8].i_TxFB/OBUFTDS AB10.DIFFO_IN net (fanout=1) 0.000 g_FB[8].i_TxFB/SLAVEBUF.DIFFOUT AB10.PAD Tiodop 0.027 TxFB_n<8> ProtoComp374.DIFFO_INUSED.11 TxFB_n<8> ------------------------------------------------- --------------------------- Total 3.114ns (2.676ns logic, 0.438ns route) (85.9% logic, 14.1% route) -------------------------------------------------------------------------------- Paths for end point TxFB_n<9> (AB8.PAD), 2 paths -------------------------------------------------------------------------------- Slack (slowest paths): 0.238ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[8].i_TxFB (FF) Destination: TxFB_n<9> (PAD) Source Clock: TTCclkOut<2> rising at 3.404ns Requirement: 7.200ns Data Path Delay: 3.532ns (Levels of Logic = 2) Clock Path Delay: -0.249ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<2> to g_TxFB[8].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- L3.I Tiopi 1.387 TTC_CLK_p<2> TTC_CLK_p<2> g_TTCclkOut[2].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.6 BUFIO2_X0Y16.I net (fanout=1) 0.645 TTCclk_in<2> BUFIO2_X0Y16.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_2 SP6_BUFIO_INSERT_ML_BUFIO2_2 DCM_X0Y4.CLKIN net (fanout=1) 1.248 g_TTCclkOut[2].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y4.CLK0 Tdmcko_CLK -7.074 g_TTCclkOut[2].i_DCM_TTCclkOut g_TTCclkOut[2].i_DCM_TTCclkOut BUFGMUX_X2Y2.I0 net (fanout=1) 0.743 TTCclkOut_dcm<2> BUFGMUX_X2Y2.O Tgi0o 0.209 g_TTCclkOut[2].i_TTCclk_buf g_TTCclkOut[2].i_TTCclk_buf OLOGIC_X10Y3.CLK0 net (fanout=7) 2.403 TTCclkOut<2> ------------------------------------------------- --------------------------- Total -0.249ns (-5.288ns logic, 5.039ns route) Maximum Data Path at Slow Process Corner: g_TxFB[8].i_TxFB to TxFB_n<9> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X10Y3.OQ Tockq 1.158 TxFB<9> g_TxFB[8].i_TxFB AA8.O net (fanout=1) 0.438 TxFB<9> AA8.DIFFO_OUT Tiood 1.909 TxFB_p<9> g_FB[9].i_TxFB/OBUFTDS AB8.DIFFO_IN net (fanout=1) 0.000 g_FB[9].i_TxFB/SLAVEBUF.DIFFOUT AB8.PAD Tiodop 0.027 TxFB_n<9> ProtoComp374.DIFFO_INUSED.12 TxFB_n<9> ------------------------------------------------- --------------------------- Total 3.532ns (3.094ns logic, 0.438ns route) (87.6% logic, 12.4% route) -------------------------------------------------------------------------------- Slack (slowest paths): 0.656ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[8].i_TxFB_T (FF) Destination: TxFB_n<9> (PAD) Source Clock: TTCclkOut<2> rising at 3.404ns Requirement: 7.200ns Data Path Delay: 3.114ns (Levels of Logic = 2) Clock Path Delay: -0.249ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<2> to g_TxFB[8].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- L3.I Tiopi 1.387 TTC_CLK_p<2> TTC_CLK_p<2> g_TTCclkOut[2].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.6 BUFIO2_X0Y16.I net (fanout=1) 0.645 TTCclk_in<2> BUFIO2_X0Y16.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_2 SP6_BUFIO_INSERT_ML_BUFIO2_2 DCM_X0Y4.CLKIN net (fanout=1) 1.248 g_TTCclkOut[2].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y4.CLK0 Tdmcko_CLK -7.074 g_TTCclkOut[2].i_DCM_TTCclkOut g_TTCclkOut[2].i_DCM_TTCclkOut BUFGMUX_X2Y2.I0 net (fanout=1) 0.743 TTCclkOut_dcm<2> BUFGMUX_X2Y2.O Tgi0o 0.209 g_TTCclkOut[2].i_TTCclk_buf g_TTCclkOut[2].i_TTCclk_buf OLOGIC_X10Y3.CLK0 net (fanout=7) 2.403 TTCclkOut<2> ------------------------------------------------- --------------------------- Total -0.249ns (-5.288ns logic, 5.039ns route) Maximum Data Path at Slow Process Corner: g_TxFB[8].i_TxFB_T to TxFB_n<9> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X10Y3.TQ Tockq 0.740 TxFB<9> g_TxFB[8].i_TxFB_T AA8.T net (fanout=1) 0.438 TxFB_T<9> AA8.DIFFO_OUT Tiotd 1.909 TxFB_p<9> g_FB[9].i_TxFB/OBUFTDS AB8.DIFFO_IN net (fanout=1) 0.000 g_FB[9].i_TxFB/SLAVEBUF.DIFFOUT AB8.PAD Tiodop 0.027 TxFB_n<9> ProtoComp374.DIFFO_INUSED.12 TxFB_n<9> ------------------------------------------------- --------------------------- Total 3.114ns (2.676ns logic, 0.438ns route) (85.9% logic, 14.1% route) -------------------------------------------------------------------------------- Fastest Paths: OFFSET = OUT 7.2 ns AFTER COMP "TTC_CLK_p<2>" "RISING"; -------------------------------------------------------------------------------- Paths for end point TxFB_p<9> (AA8.PAD), 2 paths -------------------------------------------------------------------------------- Delay (fastest paths): 4.516ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[8].i_TxFB (FF) Destination: TxFB_p<9> (PAD) Source Clock: TTCclkOut<2> rising at 3.404ns Data Path Delay: 1.343ns (Levels of Logic = 1) Clock Path Delay: 0.044ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<2> to g_TxFB[8].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- L3.I Tiopi 0.618 TTC_CLK_p<2> TTC_CLK_p<2> g_TTCclkOut[2].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.6 BUFIO2_X0Y16.I net (fanout=1) 0.222 TTCclk_in<2> BUFIO2_X0Y16.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_2 SP6_BUFIO_INSERT_ML_BUFIO2_2 DCM_X0Y4.CLKIN net (fanout=1) 0.388 g_TTCclkOut[2].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y4.CLK0 Tdmcko_CLK -2.514 g_TTCclkOut[2].i_DCM_TTCclkOut g_TTCclkOut[2].i_DCM_TTCclkOut BUFGMUX_X2Y2.I0 net (fanout=1) 0.194 TTCclkOut_dcm<2> BUFGMUX_X2Y2.O Tgi0o 0.059 g_TTCclkOut[2].i_TTCclk_buf g_TTCclkOut[2].i_TTCclk_buf OLOGIC_X10Y3.CLK0 net (fanout=7) 0.955 TTCclkOut<2> ------------------------------------------------- --------------------------- Total 0.044ns (-1.715ns logic, 1.759ns route) Minimum Data Path at Fast Process Corner: g_TxFB[8].i_TxFB to TxFB_p<9> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X10Y3.OQ Tockq 0.419 TxFB<9> g_TxFB[8].i_TxFB AA8.O net (fanout=1) 0.319 TxFB<9> AA8.PAD Tioop 0.605 TxFB_p<9> g_FB[9].i_TxFB/OBUFTDS TxFB_p<9> ------------------------------------------------- --------------------------- Total 1.343ns (1.024ns logic, 0.319ns route) (76.2% logic, 23.8% route) -------------------------------------------------------------------------------- Delay (fastest paths): 4.342ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[8].i_TxFB_T (FF) Destination: TxFB_p<9> (PAD) Source Clock: TTCclkOut<2> rising at 3.404ns Data Path Delay: 1.169ns (Levels of Logic = 1) Clock Path Delay: 0.044ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<2> to g_TxFB[8].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- L3.I Tiopi 0.618 TTC_CLK_p<2> TTC_CLK_p<2> g_TTCclkOut[2].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.6 BUFIO2_X0Y16.I net (fanout=1) 0.222 TTCclk_in<2> BUFIO2_X0Y16.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_2 SP6_BUFIO_INSERT_ML_BUFIO2_2 DCM_X0Y4.CLKIN net (fanout=1) 0.388 g_TTCclkOut[2].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y4.CLK0 Tdmcko_CLK -2.514 g_TTCclkOut[2].i_DCM_TTCclkOut g_TTCclkOut[2].i_DCM_TTCclkOut BUFGMUX_X2Y2.I0 net (fanout=1) 0.194 TTCclkOut_dcm<2> BUFGMUX_X2Y2.O Tgi0o 0.059 g_TTCclkOut[2].i_TTCclk_buf g_TTCclkOut[2].i_TTCclk_buf OLOGIC_X10Y3.CLK0 net (fanout=7) 0.955 TTCclkOut<2> ------------------------------------------------- --------------------------- Total 0.044ns (-1.715ns logic, 1.759ns route) Minimum Data Path at Fast Process Corner: g_TxFB[8].i_TxFB_T to TxFB_p<9> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X10Y3.TQ Tockq 0.245 TxFB<9> g_TxFB[8].i_TxFB_T AA8.T net (fanout=1) 0.319 TxFB_T<9> AA8.PAD Tiotp 0.605 TxFB_p<9> g_FB[9].i_TxFB/OBUFTDS TxFB_p<9> ------------------------------------------------- --------------------------- Total 1.169ns (0.850ns logic, 0.319ns route) (72.7% logic, 27.3% route) -------------------------------------------------------------------------------- Paths for end point TxFB_p<7> (Y11.PAD), 2 paths -------------------------------------------------------------------------------- Delay (fastest paths): 4.517ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[6].i_TxFB (FF) Destination: TxFB_p<7> (PAD) Source Clock: TTCclkOut<2> rising at 3.404ns Data Path Delay: 1.343ns (Levels of Logic = 1) Clock Path Delay: 0.045ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<2> to g_TxFB[6].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- L3.I Tiopi 0.618 TTC_CLK_p<2> TTC_CLK_p<2> g_TTCclkOut[2].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.6 BUFIO2_X0Y16.I net (fanout=1) 0.222 TTCclk_in<2> BUFIO2_X0Y16.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_2 SP6_BUFIO_INSERT_ML_BUFIO2_2 DCM_X0Y4.CLKIN net (fanout=1) 0.388 g_TTCclkOut[2].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y4.CLK0 Tdmcko_CLK -2.514 g_TTCclkOut[2].i_DCM_TTCclkOut g_TTCclkOut[2].i_DCM_TTCclkOut BUFGMUX_X2Y2.I0 net (fanout=1) 0.194 TTCclkOut_dcm<2> BUFGMUX_X2Y2.O Tgi0o 0.059 g_TTCclkOut[2].i_TTCclk_buf g_TTCclkOut[2].i_TTCclk_buf OLOGIC_X13Y3.CLK0 net (fanout=7) 0.956 TTCclkOut<2> ------------------------------------------------- --------------------------- Total 0.045ns (-1.715ns logic, 1.760ns route) Minimum Data Path at Fast Process Corner: g_TxFB[6].i_TxFB to TxFB_p<7> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X13Y3.OQ Tockq 0.419 TxFB<7> g_TxFB[6].i_TxFB Y11.O net (fanout=1) 0.319 TxFB<7> Y11.PAD Tioop 0.605 TxFB_p<7> g_FB[7].i_TxFB/OBUFTDS TxFB_p<7> ------------------------------------------------- --------------------------- Total 1.343ns (1.024ns logic, 0.319ns route) (76.2% logic, 23.8% route) -------------------------------------------------------------------------------- Delay (fastest paths): 4.343ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[6].i_TxFB_T (FF) Destination: TxFB_p<7> (PAD) Source Clock: TTCclkOut<2> rising at 3.404ns Data Path Delay: 1.169ns (Levels of Logic = 1) Clock Path Delay: 0.045ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<2> to g_TxFB[6].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- L3.I Tiopi 0.618 TTC_CLK_p<2> TTC_CLK_p<2> g_TTCclkOut[2].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.6 BUFIO2_X0Y16.I net (fanout=1) 0.222 TTCclk_in<2> BUFIO2_X0Y16.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_2 SP6_BUFIO_INSERT_ML_BUFIO2_2 DCM_X0Y4.CLKIN net (fanout=1) 0.388 g_TTCclkOut[2].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y4.CLK0 Tdmcko_CLK -2.514 g_TTCclkOut[2].i_DCM_TTCclkOut g_TTCclkOut[2].i_DCM_TTCclkOut BUFGMUX_X2Y2.I0 net (fanout=1) 0.194 TTCclkOut_dcm<2> BUFGMUX_X2Y2.O Tgi0o 0.059 g_TTCclkOut[2].i_TTCclk_buf g_TTCclkOut[2].i_TTCclk_buf OLOGIC_X13Y3.CLK0 net (fanout=7) 0.956 TTCclkOut<2> ------------------------------------------------- --------------------------- Total 0.045ns (-1.715ns logic, 1.760ns route) Minimum Data Path at Fast Process Corner: g_TxFB[6].i_TxFB_T to TxFB_p<7> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X13Y3.TQ Tockq 0.245 TxFB<7> g_TxFB[6].i_TxFB_T Y11.T net (fanout=1) 0.319 TxFB_T<7> Y11.PAD Tiotp 0.605 TxFB_p<7> g_FB[7].i_TxFB/OBUFTDS TxFB_p<7> ------------------------------------------------- --------------------------- Total 1.169ns (0.850ns logic, 0.319ns route) (72.7% logic, 27.3% route) -------------------------------------------------------------------------------- Paths for end point TxFB_p<8> (AA10.PAD), 2 paths -------------------------------------------------------------------------------- Delay (fastest paths): 4.517ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[7].i_TxFB (FF) Destination: TxFB_p<8> (PAD) Source Clock: TTCclkOut<2> rising at 3.404ns Data Path Delay: 1.343ns (Levels of Logic = 1) Clock Path Delay: 0.045ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<2> to g_TxFB[7].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- L3.I Tiopi 0.618 TTC_CLK_p<2> TTC_CLK_p<2> g_TTCclkOut[2].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.6 BUFIO2_X0Y16.I net (fanout=1) 0.222 TTCclk_in<2> BUFIO2_X0Y16.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_2 SP6_BUFIO_INSERT_ML_BUFIO2_2 DCM_X0Y4.CLKIN net (fanout=1) 0.388 g_TTCclkOut[2].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y4.CLK0 Tdmcko_CLK -2.514 g_TTCclkOut[2].i_DCM_TTCclkOut g_TTCclkOut[2].i_DCM_TTCclkOut BUFGMUX_X2Y2.I0 net (fanout=1) 0.194 TTCclkOut_dcm<2> BUFGMUX_X2Y2.O Tgi0o 0.059 g_TTCclkOut[2].i_TTCclk_buf g_TTCclkOut[2].i_TTCclk_buf OLOGIC_X12Y3.CLK0 net (fanout=7) 0.956 TTCclkOut<2> ------------------------------------------------- --------------------------- Total 0.045ns (-1.715ns logic, 1.760ns route) Minimum Data Path at Fast Process Corner: g_TxFB[7].i_TxFB to TxFB_p<8> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X12Y3.OQ Tockq 0.419 TxFB<8> g_TxFB[7].i_TxFB AA10.O net (fanout=1) 0.319 TxFB<8> AA10.PAD Tioop 0.605 TxFB_p<8> g_FB[8].i_TxFB/OBUFTDS TxFB_p<8> ------------------------------------------------- --------------------------- Total 1.343ns (1.024ns logic, 0.319ns route) (76.2% logic, 23.8% route) -------------------------------------------------------------------------------- Delay (fastest paths): 4.343ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[7].i_TxFB_T (FF) Destination: TxFB_p<8> (PAD) Source Clock: TTCclkOut<2> rising at 3.404ns Data Path Delay: 1.169ns (Levels of Logic = 1) Clock Path Delay: 0.045ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<2> to g_TxFB[7].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- L3.I Tiopi 0.618 TTC_CLK_p<2> TTC_CLK_p<2> g_TTCclkOut[2].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.6 BUFIO2_X0Y16.I net (fanout=1) 0.222 TTCclk_in<2> BUFIO2_X0Y16.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_2 SP6_BUFIO_INSERT_ML_BUFIO2_2 DCM_X0Y4.CLKIN net (fanout=1) 0.388 g_TTCclkOut[2].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y4.CLK0 Tdmcko_CLK -2.514 g_TTCclkOut[2].i_DCM_TTCclkOut g_TTCclkOut[2].i_DCM_TTCclkOut BUFGMUX_X2Y2.I0 net (fanout=1) 0.194 TTCclkOut_dcm<2> BUFGMUX_X2Y2.O Tgi0o 0.059 g_TTCclkOut[2].i_TTCclk_buf g_TTCclkOut[2].i_TTCclk_buf OLOGIC_X12Y3.CLK0 net (fanout=7) 0.956 TTCclkOut<2> ------------------------------------------------- --------------------------- Total 0.045ns (-1.715ns logic, 1.760ns route) Minimum Data Path at Fast Process Corner: g_TxFB[7].i_TxFB_T to TxFB_p<8> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X12Y3.TQ Tockq 0.245 TxFB<8> g_TxFB[7].i_TxFB_T AA10.T net (fanout=1) 0.319 TxFB_T<8> AA10.PAD Tiotp 0.605 TxFB_p<8> g_FB[8].i_TxFB/OBUFTDS TxFB_p<8> ------------------------------------------------- --------------------------- Total 1.169ns (0.850ns logic, 0.319ns route) (72.7% logic, 27.3% route) -------------------------------------------------------------------------------- ================================================================================ Timing constraint: OFFSET = OUT 7.2 ns AFTER COMP "TTC_CLK_p<2>" "FALLING"; For more information, see Offset Out Analysis in the Timing Closure User Guide (UG612). 12 paths analyzed, 6 endpoints analyzed, 0 failing endpoints 0 timing errors detected. Minimum allowable offset is 6.901ns. -------------------------------------------------------------------------------- Paths for end point TxFB_n<7> (AB11.PAD), 2 paths -------------------------------------------------------------------------------- Slack (slowest paths): 0.299ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[6].i_TxFB (FF) Destination: TxFB_n<7> (PAD) Source Clock: TTCclkOut<2> falling at 3.404ns Requirement: 7.200ns Data Path Delay: 3.532ns (Levels of Logic = 2) Clock Path Delay: -0.310ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<2> to g_TxFB[6].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- L3.I Tiopi 1.387 TTC_CLK_p<2> TTC_CLK_p<2> g_TTCclkOut[2].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.6 BUFIO2_X0Y16.I net (fanout=1) 0.645 TTCclk_in<2> BUFIO2_X0Y16.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_2 SP6_BUFIO_INSERT_ML_BUFIO2_2 DCM_X0Y4.CLKIN net (fanout=1) 1.248 g_TTCclkOut[2].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y4.CLK0 Tdmcko_CLK -7.074 g_TTCclkOut[2].i_DCM_TTCclkOut g_TTCclkOut[2].i_DCM_TTCclkOut BUFGMUX_X2Y2.I0 net (fanout=1) 0.743 TTCclkOut_dcm<2> BUFGMUX_X2Y2.O Tgi0o 0.209 g_TTCclkOut[2].i_TTCclk_buf g_TTCclkOut[2].i_TTCclk_buf OLOGIC_X13Y3.CLK1 net (fanout=7) 2.342 TTCclkOut<2> ------------------------------------------------- --------------------------- Total -0.310ns (-5.288ns logic, 4.978ns route) Maximum Data Path at Slow Process Corner: g_TxFB[6].i_TxFB to TxFB_n<7> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X13Y3.OQ Tockq 1.158 TxFB<7> g_TxFB[6].i_TxFB Y11.O net (fanout=1) 0.438 TxFB<7> Y11.DIFFO_OUT Tiood 1.909 TxFB_p<7> g_FB[7].i_TxFB/OBUFTDS AB11.DIFFO_IN net (fanout=1) 0.000 g_FB[7].i_TxFB/SLAVEBUF.DIFFOUT AB11.PAD Tiodop 0.027 TxFB_n<7> ProtoComp374.DIFFO_INUSED.10 TxFB_n<7> ------------------------------------------------- --------------------------- Total 3.532ns (3.094ns logic, 0.438ns route) (87.6% logic, 12.4% route) -------------------------------------------------------------------------------- Slack (slowest paths): 0.717ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[6].i_TxFB_T (FF) Destination: TxFB_n<7> (PAD) Source Clock: TTCclkOut<2> falling at 3.404ns Requirement: 7.200ns Data Path Delay: 3.114ns (Levels of Logic = 2) Clock Path Delay: -0.310ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<2> to g_TxFB[6].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- L3.I Tiopi 1.387 TTC_CLK_p<2> TTC_CLK_p<2> g_TTCclkOut[2].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.6 BUFIO2_X0Y16.I net (fanout=1) 0.645 TTCclk_in<2> BUFIO2_X0Y16.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_2 SP6_BUFIO_INSERT_ML_BUFIO2_2 DCM_X0Y4.CLKIN net (fanout=1) 1.248 g_TTCclkOut[2].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y4.CLK0 Tdmcko_CLK -7.074 g_TTCclkOut[2].i_DCM_TTCclkOut g_TTCclkOut[2].i_DCM_TTCclkOut BUFGMUX_X2Y2.I0 net (fanout=1) 0.743 TTCclkOut_dcm<2> BUFGMUX_X2Y2.O Tgi0o 0.209 g_TTCclkOut[2].i_TTCclk_buf g_TTCclkOut[2].i_TTCclk_buf OLOGIC_X13Y3.CLK1 net (fanout=7) 2.342 TTCclkOut<2> ------------------------------------------------- --------------------------- Total -0.310ns (-5.288ns logic, 4.978ns route) Maximum Data Path at Slow Process Corner: g_TxFB[6].i_TxFB_T to TxFB_n<7> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X13Y3.TQ Tockq 0.740 TxFB<7> g_TxFB[6].i_TxFB_T Y11.T net (fanout=1) 0.438 TxFB_T<7> Y11.DIFFO_OUT Tiotd 1.909 TxFB_p<7> g_FB[7].i_TxFB/OBUFTDS AB11.DIFFO_IN net (fanout=1) 0.000 g_FB[7].i_TxFB/SLAVEBUF.DIFFOUT AB11.PAD Tiodop 0.027 TxFB_n<7> ProtoComp374.DIFFO_INUSED.10 TxFB_n<7> ------------------------------------------------- --------------------------- Total 3.114ns (2.676ns logic, 0.438ns route) (85.9% logic, 14.1% route) -------------------------------------------------------------------------------- Paths for end point TxFB_n<8> (AB10.PAD), 2 paths -------------------------------------------------------------------------------- Slack (slowest paths): 0.299ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[7].i_TxFB (FF) Destination: TxFB_n<8> (PAD) Source Clock: TTCclkOut<2> falling at 3.404ns Requirement: 7.200ns Data Path Delay: 3.532ns (Levels of Logic = 2) Clock Path Delay: -0.310ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<2> to g_TxFB[7].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- L3.I Tiopi 1.387 TTC_CLK_p<2> TTC_CLK_p<2> g_TTCclkOut[2].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.6 BUFIO2_X0Y16.I net (fanout=1) 0.645 TTCclk_in<2> BUFIO2_X0Y16.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_2 SP6_BUFIO_INSERT_ML_BUFIO2_2 DCM_X0Y4.CLKIN net (fanout=1) 1.248 g_TTCclkOut[2].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y4.CLK0 Tdmcko_CLK -7.074 g_TTCclkOut[2].i_DCM_TTCclkOut g_TTCclkOut[2].i_DCM_TTCclkOut BUFGMUX_X2Y2.I0 net (fanout=1) 0.743 TTCclkOut_dcm<2> BUFGMUX_X2Y2.O Tgi0o 0.209 g_TTCclkOut[2].i_TTCclk_buf g_TTCclkOut[2].i_TTCclk_buf OLOGIC_X12Y3.CLK1 net (fanout=7) 2.342 TTCclkOut<2> ------------------------------------------------- --------------------------- Total -0.310ns (-5.288ns logic, 4.978ns route) Maximum Data Path at Slow Process Corner: g_TxFB[7].i_TxFB to TxFB_n<8> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X12Y3.OQ Tockq 1.158 TxFB<8> g_TxFB[7].i_TxFB AA10.O net (fanout=1) 0.438 TxFB<8> AA10.DIFFO_OUT Tiood 1.909 TxFB_p<8> g_FB[8].i_TxFB/OBUFTDS AB10.DIFFO_IN net (fanout=1) 0.000 g_FB[8].i_TxFB/SLAVEBUF.DIFFOUT AB10.PAD Tiodop 0.027 TxFB_n<8> ProtoComp374.DIFFO_INUSED.11 TxFB_n<8> ------------------------------------------------- --------------------------- Total 3.532ns (3.094ns logic, 0.438ns route) (87.6% logic, 12.4% route) -------------------------------------------------------------------------------- Slack (slowest paths): 0.717ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[7].i_TxFB_T (FF) Destination: TxFB_n<8> (PAD) Source Clock: TTCclkOut<2> falling at 3.404ns Requirement: 7.200ns Data Path Delay: 3.114ns (Levels of Logic = 2) Clock Path Delay: -0.310ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<2> to g_TxFB[7].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- L3.I Tiopi 1.387 TTC_CLK_p<2> TTC_CLK_p<2> g_TTCclkOut[2].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.6 BUFIO2_X0Y16.I net (fanout=1) 0.645 TTCclk_in<2> BUFIO2_X0Y16.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_2 SP6_BUFIO_INSERT_ML_BUFIO2_2 DCM_X0Y4.CLKIN net (fanout=1) 1.248 g_TTCclkOut[2].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y4.CLK0 Tdmcko_CLK -7.074 g_TTCclkOut[2].i_DCM_TTCclkOut g_TTCclkOut[2].i_DCM_TTCclkOut BUFGMUX_X2Y2.I0 net (fanout=1) 0.743 TTCclkOut_dcm<2> BUFGMUX_X2Y2.O Tgi0o 0.209 g_TTCclkOut[2].i_TTCclk_buf g_TTCclkOut[2].i_TTCclk_buf OLOGIC_X12Y3.CLK1 net (fanout=7) 2.342 TTCclkOut<2> ------------------------------------------------- --------------------------- Total -0.310ns (-5.288ns logic, 4.978ns route) Maximum Data Path at Slow Process Corner: g_TxFB[7].i_TxFB_T to TxFB_n<8> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X12Y3.TQ Tockq 0.740 TxFB<8> g_TxFB[7].i_TxFB_T AA10.T net (fanout=1) 0.438 TxFB_T<8> AA10.DIFFO_OUT Tiotd 1.909 TxFB_p<8> g_FB[8].i_TxFB/OBUFTDS AB10.DIFFO_IN net (fanout=1) 0.000 g_FB[8].i_TxFB/SLAVEBUF.DIFFOUT AB10.PAD Tiodop 0.027 TxFB_n<8> ProtoComp374.DIFFO_INUSED.11 TxFB_n<8> ------------------------------------------------- --------------------------- Total 3.114ns (2.676ns logic, 0.438ns route) (85.9% logic, 14.1% route) -------------------------------------------------------------------------------- Paths for end point TxFB_n<9> (AB8.PAD), 2 paths -------------------------------------------------------------------------------- Slack (slowest paths): 0.299ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[8].i_TxFB (FF) Destination: TxFB_n<9> (PAD) Source Clock: TTCclkOut<2> falling at 3.404ns Requirement: 7.200ns Data Path Delay: 3.532ns (Levels of Logic = 2) Clock Path Delay: -0.310ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<2> to g_TxFB[8].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- L3.I Tiopi 1.387 TTC_CLK_p<2> TTC_CLK_p<2> g_TTCclkOut[2].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.6 BUFIO2_X0Y16.I net (fanout=1) 0.645 TTCclk_in<2> BUFIO2_X0Y16.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_2 SP6_BUFIO_INSERT_ML_BUFIO2_2 DCM_X0Y4.CLKIN net (fanout=1) 1.248 g_TTCclkOut[2].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y4.CLK0 Tdmcko_CLK -7.074 g_TTCclkOut[2].i_DCM_TTCclkOut g_TTCclkOut[2].i_DCM_TTCclkOut BUFGMUX_X2Y2.I0 net (fanout=1) 0.743 TTCclkOut_dcm<2> BUFGMUX_X2Y2.O Tgi0o 0.209 g_TTCclkOut[2].i_TTCclk_buf g_TTCclkOut[2].i_TTCclk_buf OLOGIC_X10Y3.CLK1 net (fanout=7) 2.342 TTCclkOut<2> ------------------------------------------------- --------------------------- Total -0.310ns (-5.288ns logic, 4.978ns route) Maximum Data Path at Slow Process Corner: g_TxFB[8].i_TxFB to TxFB_n<9> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X10Y3.OQ Tockq 1.158 TxFB<9> g_TxFB[8].i_TxFB AA8.O net (fanout=1) 0.438 TxFB<9> AA8.DIFFO_OUT Tiood 1.909 TxFB_p<9> g_FB[9].i_TxFB/OBUFTDS AB8.DIFFO_IN net (fanout=1) 0.000 g_FB[9].i_TxFB/SLAVEBUF.DIFFOUT AB8.PAD Tiodop 0.027 TxFB_n<9> ProtoComp374.DIFFO_INUSED.12 TxFB_n<9> ------------------------------------------------- --------------------------- Total 3.532ns (3.094ns logic, 0.438ns route) (87.6% logic, 12.4% route) -------------------------------------------------------------------------------- Slack (slowest paths): 0.717ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[8].i_TxFB_T (FF) Destination: TxFB_n<9> (PAD) Source Clock: TTCclkOut<2> falling at 3.404ns Requirement: 7.200ns Data Path Delay: 3.114ns (Levels of Logic = 2) Clock Path Delay: -0.310ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<2> to g_TxFB[8].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- L3.I Tiopi 1.387 TTC_CLK_p<2> TTC_CLK_p<2> g_TTCclkOut[2].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.6 BUFIO2_X0Y16.I net (fanout=1) 0.645 TTCclk_in<2> BUFIO2_X0Y16.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_2 SP6_BUFIO_INSERT_ML_BUFIO2_2 DCM_X0Y4.CLKIN net (fanout=1) 1.248 g_TTCclkOut[2].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y4.CLK0 Tdmcko_CLK -7.074 g_TTCclkOut[2].i_DCM_TTCclkOut g_TTCclkOut[2].i_DCM_TTCclkOut BUFGMUX_X2Y2.I0 net (fanout=1) 0.743 TTCclkOut_dcm<2> BUFGMUX_X2Y2.O Tgi0o 0.209 g_TTCclkOut[2].i_TTCclk_buf g_TTCclkOut[2].i_TTCclk_buf OLOGIC_X10Y3.CLK1 net (fanout=7) 2.342 TTCclkOut<2> ------------------------------------------------- --------------------------- Total -0.310ns (-5.288ns logic, 4.978ns route) Maximum Data Path at Slow Process Corner: g_TxFB[8].i_TxFB_T to TxFB_n<9> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X10Y3.TQ Tockq 0.740 TxFB<9> g_TxFB[8].i_TxFB_T AA8.T net (fanout=1) 0.438 TxFB_T<9> AA8.DIFFO_OUT Tiotd 1.909 TxFB_p<9> g_FB[9].i_TxFB/OBUFTDS AB8.DIFFO_IN net (fanout=1) 0.000 g_FB[9].i_TxFB/SLAVEBUF.DIFFOUT AB8.PAD Tiodop 0.027 TxFB_n<9> ProtoComp374.DIFFO_INUSED.12 TxFB_n<9> ------------------------------------------------- --------------------------- Total 3.114ns (2.676ns logic, 0.438ns route) (85.9% logic, 14.1% route) -------------------------------------------------------------------------------- Fastest Paths: OFFSET = OUT 7.2 ns AFTER COMP "TTC_CLK_p<2>" "FALLING"; -------------------------------------------------------------------------------- Paths for end point TxFB_p<7> (Y11.PAD), 2 paths -------------------------------------------------------------------------------- Delay (fastest paths): 4.451ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[6].i_TxFB (FF) Destination: TxFB_p<7> (PAD) Source Clock: TTCclkOut<2> falling at 3.404ns Data Path Delay: 1.349ns (Levels of Logic = 1) Clock Path Delay: -0.027ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<2> to g_TxFB[6].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- L3.I Tiopi 0.618 TTC_CLK_p<2> TTC_CLK_p<2> g_TTCclkOut[2].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.6 BUFIO2_X0Y16.I net (fanout=1) 0.222 TTCclk_in<2> BUFIO2_X0Y16.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_2 SP6_BUFIO_INSERT_ML_BUFIO2_2 DCM_X0Y4.CLKIN net (fanout=1) 0.388 g_TTCclkOut[2].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y4.CLK0 Tdmcko_CLK -2.514 g_TTCclkOut[2].i_DCM_TTCclkOut g_TTCclkOut[2].i_DCM_TTCclkOut BUFGMUX_X2Y2.I0 net (fanout=1) 0.194 TTCclkOut_dcm<2> BUFGMUX_X2Y2.O Tgi0o 0.059 g_TTCclkOut[2].i_TTCclk_buf g_TTCclkOut[2].i_TTCclk_buf OLOGIC_X13Y3.CLK1 net (fanout=7) 0.884 TTCclkOut<2> ------------------------------------------------- --------------------------- Total -0.027ns (-1.715ns logic, 1.688ns route) Minimum Data Path at Fast Process Corner: g_TxFB[6].i_TxFB to TxFB_p<7> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X13Y3.OQ Tockq 0.425 TxFB<7> g_TxFB[6].i_TxFB Y11.O net (fanout=1) 0.319 TxFB<7> Y11.PAD Tioop 0.605 TxFB_p<7> g_FB[7].i_TxFB/OBUFTDS TxFB_p<7> ------------------------------------------------- --------------------------- Total 1.349ns (1.030ns logic, 0.319ns route) (76.4% logic, 23.6% route) -------------------------------------------------------------------------------- Delay (fastest paths): 4.277ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[6].i_TxFB_T (FF) Destination: TxFB_p<7> (PAD) Source Clock: TTCclkOut<2> falling at 3.404ns Data Path Delay: 1.175ns (Levels of Logic = 1) Clock Path Delay: -0.027ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<2> to g_TxFB[6].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- L3.I Tiopi 0.618 TTC_CLK_p<2> TTC_CLK_p<2> g_TTCclkOut[2].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.6 BUFIO2_X0Y16.I net (fanout=1) 0.222 TTCclk_in<2> BUFIO2_X0Y16.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_2 SP6_BUFIO_INSERT_ML_BUFIO2_2 DCM_X0Y4.CLKIN net (fanout=1) 0.388 g_TTCclkOut[2].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y4.CLK0 Tdmcko_CLK -2.514 g_TTCclkOut[2].i_DCM_TTCclkOut g_TTCclkOut[2].i_DCM_TTCclkOut BUFGMUX_X2Y2.I0 net (fanout=1) 0.194 TTCclkOut_dcm<2> BUFGMUX_X2Y2.O Tgi0o 0.059 g_TTCclkOut[2].i_TTCclk_buf g_TTCclkOut[2].i_TTCclk_buf OLOGIC_X13Y3.CLK1 net (fanout=7) 0.884 TTCclkOut<2> ------------------------------------------------- --------------------------- Total -0.027ns (-1.715ns logic, 1.688ns route) Minimum Data Path at Fast Process Corner: g_TxFB[6].i_TxFB_T to TxFB_p<7> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X13Y3.TQ Tockq 0.251 TxFB<7> g_TxFB[6].i_TxFB_T Y11.T net (fanout=1) 0.319 TxFB_T<7> Y11.PAD Tiotp 0.605 TxFB_p<7> g_FB[7].i_TxFB/OBUFTDS TxFB_p<7> ------------------------------------------------- --------------------------- Total 1.175ns (0.856ns logic, 0.319ns route) (72.9% logic, 27.1% route) -------------------------------------------------------------------------------- Paths for end point TxFB_p<8> (AA10.PAD), 2 paths -------------------------------------------------------------------------------- Delay (fastest paths): 4.451ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[7].i_TxFB (FF) Destination: TxFB_p<8> (PAD) Source Clock: TTCclkOut<2> falling at 3.404ns Data Path Delay: 1.349ns (Levels of Logic = 1) Clock Path Delay: -0.027ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<2> to g_TxFB[7].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- L3.I Tiopi 0.618 TTC_CLK_p<2> TTC_CLK_p<2> g_TTCclkOut[2].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.6 BUFIO2_X0Y16.I net (fanout=1) 0.222 TTCclk_in<2> BUFIO2_X0Y16.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_2 SP6_BUFIO_INSERT_ML_BUFIO2_2 DCM_X0Y4.CLKIN net (fanout=1) 0.388 g_TTCclkOut[2].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y4.CLK0 Tdmcko_CLK -2.514 g_TTCclkOut[2].i_DCM_TTCclkOut g_TTCclkOut[2].i_DCM_TTCclkOut BUFGMUX_X2Y2.I0 net (fanout=1) 0.194 TTCclkOut_dcm<2> BUFGMUX_X2Y2.O Tgi0o 0.059 g_TTCclkOut[2].i_TTCclk_buf g_TTCclkOut[2].i_TTCclk_buf OLOGIC_X12Y3.CLK1 net (fanout=7) 0.884 TTCclkOut<2> ------------------------------------------------- --------------------------- Total -0.027ns (-1.715ns logic, 1.688ns route) Minimum Data Path at Fast Process Corner: g_TxFB[7].i_TxFB to TxFB_p<8> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X12Y3.OQ Tockq 0.425 TxFB<8> g_TxFB[7].i_TxFB AA10.O net (fanout=1) 0.319 TxFB<8> AA10.PAD Tioop 0.605 TxFB_p<8> g_FB[8].i_TxFB/OBUFTDS TxFB_p<8> ------------------------------------------------- --------------------------- Total 1.349ns (1.030ns logic, 0.319ns route) (76.4% logic, 23.6% route) -------------------------------------------------------------------------------- Delay (fastest paths): 4.277ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[7].i_TxFB_T (FF) Destination: TxFB_p<8> (PAD) Source Clock: TTCclkOut<2> falling at 3.404ns Data Path Delay: 1.175ns (Levels of Logic = 1) Clock Path Delay: -0.027ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<2> to g_TxFB[7].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- L3.I Tiopi 0.618 TTC_CLK_p<2> TTC_CLK_p<2> g_TTCclkOut[2].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.6 BUFIO2_X0Y16.I net (fanout=1) 0.222 TTCclk_in<2> BUFIO2_X0Y16.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_2 SP6_BUFIO_INSERT_ML_BUFIO2_2 DCM_X0Y4.CLKIN net (fanout=1) 0.388 g_TTCclkOut[2].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y4.CLK0 Tdmcko_CLK -2.514 g_TTCclkOut[2].i_DCM_TTCclkOut g_TTCclkOut[2].i_DCM_TTCclkOut BUFGMUX_X2Y2.I0 net (fanout=1) 0.194 TTCclkOut_dcm<2> BUFGMUX_X2Y2.O Tgi0o 0.059 g_TTCclkOut[2].i_TTCclk_buf g_TTCclkOut[2].i_TTCclk_buf OLOGIC_X12Y3.CLK1 net (fanout=7) 0.884 TTCclkOut<2> ------------------------------------------------- --------------------------- Total -0.027ns (-1.715ns logic, 1.688ns route) Minimum Data Path at Fast Process Corner: g_TxFB[7].i_TxFB_T to TxFB_p<8> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X12Y3.TQ Tockq 0.251 TxFB<8> g_TxFB[7].i_TxFB_T AA10.T net (fanout=1) 0.319 TxFB_T<8> AA10.PAD Tiotp 0.605 TxFB_p<8> g_FB[8].i_TxFB/OBUFTDS TxFB_p<8> ------------------------------------------------- --------------------------- Total 1.175ns (0.856ns logic, 0.319ns route) (72.9% logic, 27.1% route) -------------------------------------------------------------------------------- Paths for end point TxFB_p<9> (AA8.PAD), 2 paths -------------------------------------------------------------------------------- Delay (fastest paths): 4.451ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[8].i_TxFB (FF) Destination: TxFB_p<9> (PAD) Source Clock: TTCclkOut<2> falling at 3.404ns Data Path Delay: 1.349ns (Levels of Logic = 1) Clock Path Delay: -0.027ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<2> to g_TxFB[8].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- L3.I Tiopi 0.618 TTC_CLK_p<2> TTC_CLK_p<2> g_TTCclkOut[2].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.6 BUFIO2_X0Y16.I net (fanout=1) 0.222 TTCclk_in<2> BUFIO2_X0Y16.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_2 SP6_BUFIO_INSERT_ML_BUFIO2_2 DCM_X0Y4.CLKIN net (fanout=1) 0.388 g_TTCclkOut[2].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y4.CLK0 Tdmcko_CLK -2.514 g_TTCclkOut[2].i_DCM_TTCclkOut g_TTCclkOut[2].i_DCM_TTCclkOut BUFGMUX_X2Y2.I0 net (fanout=1) 0.194 TTCclkOut_dcm<2> BUFGMUX_X2Y2.O Tgi0o 0.059 g_TTCclkOut[2].i_TTCclk_buf g_TTCclkOut[2].i_TTCclk_buf OLOGIC_X10Y3.CLK1 net (fanout=7) 0.884 TTCclkOut<2> ------------------------------------------------- --------------------------- Total -0.027ns (-1.715ns logic, 1.688ns route) Minimum Data Path at Fast Process Corner: g_TxFB[8].i_TxFB to TxFB_p<9> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X10Y3.OQ Tockq 0.425 TxFB<9> g_TxFB[8].i_TxFB AA8.O net (fanout=1) 0.319 TxFB<9> AA8.PAD Tioop 0.605 TxFB_p<9> g_FB[9].i_TxFB/OBUFTDS TxFB_p<9> ------------------------------------------------- --------------------------- Total 1.349ns (1.030ns logic, 0.319ns route) (76.4% logic, 23.6% route) -------------------------------------------------------------------------------- Delay (fastest paths): 4.277ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[8].i_TxFB_T (FF) Destination: TxFB_p<9> (PAD) Source Clock: TTCclkOut<2> falling at 3.404ns Data Path Delay: 1.175ns (Levels of Logic = 1) Clock Path Delay: -0.027ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<2> to g_TxFB[8].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- L3.I Tiopi 0.618 TTC_CLK_p<2> TTC_CLK_p<2> g_TTCclkOut[2].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.6 BUFIO2_X0Y16.I net (fanout=1) 0.222 TTCclk_in<2> BUFIO2_X0Y16.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_2 SP6_BUFIO_INSERT_ML_BUFIO2_2 DCM_X0Y4.CLKIN net (fanout=1) 0.388 g_TTCclkOut[2].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y4.CLK0 Tdmcko_CLK -2.514 g_TTCclkOut[2].i_DCM_TTCclkOut g_TTCclkOut[2].i_DCM_TTCclkOut BUFGMUX_X2Y2.I0 net (fanout=1) 0.194 TTCclkOut_dcm<2> BUFGMUX_X2Y2.O Tgi0o 0.059 g_TTCclkOut[2].i_TTCclk_buf g_TTCclkOut[2].i_TTCclk_buf OLOGIC_X10Y3.CLK1 net (fanout=7) 0.884 TTCclkOut<2> ------------------------------------------------- --------------------------- Total -0.027ns (-1.715ns logic, 1.688ns route) Minimum Data Path at Fast Process Corner: g_TxFB[8].i_TxFB_T to TxFB_p<9> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X10Y3.TQ Tockq 0.251 TxFB<9> g_TxFB[8].i_TxFB_T AA8.T net (fanout=1) 0.319 TxFB_T<9> AA8.PAD Tiotp 0.605 TxFB_p<9> g_FB[9].i_TxFB/OBUFTDS TxFB_p<9> ------------------------------------------------- --------------------------- Total 1.175ns (0.856ns logic, 0.319ns route) (72.9% logic, 27.1% route) -------------------------------------------------------------------------------- ================================================================================ Timing constraint: OFFSET = OUT 7.2 ns AFTER COMP "TTC_CLK_p<3>" "RISING"; For more information, see Offset Out Analysis in the Timing Closure User Guide (UG612). 12 paths analyzed, 6 endpoints analyzed, 0 failing endpoints 0 timing errors detected. Minimum allowable offset is 6.902ns. -------------------------------------------------------------------------------- Paths for end point TxFB_n<12> (AB4.PAD), 2 paths -------------------------------------------------------------------------------- Slack (slowest paths): 0.298ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[11].i_TxFB (FF) Destination: TxFB_n<12> (PAD) Source Clock: TTCclkOut<3> rising at 3.404ns Requirement: 7.200ns Data Path Delay: 3.532ns (Levels of Logic = 2) Clock Path Delay: -0.309ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<3> to g_TxFB[11].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- P3.I Tiopi 1.387 TTC_CLK_p<3> TTC_CLK_p<3> g_TTCclkOut[3].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.7 BUFIO2_X0Y22.I net (fanout=1) 0.643 TTCclk_in<3> BUFIO2_X0Y22.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_3 SP6_BUFIO_INSERT_ML_BUFIO2_3 DCM_X0Y6.CLKIN net (fanout=1) 1.248 g_TTCclkOut[3].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y6.CLK0 Tdmcko_CLK -7.386 g_TTCclkOut[3].i_DCM_TTCclkOut g_TTCclkOut[3].i_DCM_TTCclkOut BUFGMUX_X3Y13.I0 net (fanout=1) 1.050 TTCclkOut_dcm<3> BUFGMUX_X3Y13.O Tgi0o 0.209 g_TTCclkOut[3].i_TTCclk_buf g_TTCclkOut[3].i_TTCclk_buf OLOGIC_X2Y3.CLK0 net (fanout=7) 2.350 TTCclkOut<3> ------------------------------------------------- --------------------------- Total -0.309ns (-5.600ns logic, 5.291ns route) Maximum Data Path at Slow Process Corner: g_TxFB[11].i_TxFB to TxFB_n<12> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X2Y3.OQ Tockq 1.158 TxFB<12> g_TxFB[11].i_TxFB AA4.O net (fanout=1) 0.438 TxFB<12> AA4.DIFFO_OUT Tiood 1.909 TxFB_p<12> g_FB[12].i_TxFB/OBUFTDS AB4.DIFFO_IN net (fanout=1) 0.000 g_FB[12].i_TxFB/SLAVEBUF.DIFFOUT AB4.PAD Tiodop 0.027 TxFB_n<12> ProtoComp374.DIFFO_INUSED.3 TxFB_n<12> ------------------------------------------------- --------------------------- Total 3.532ns (3.094ns logic, 0.438ns route) (87.6% logic, 12.4% route) -------------------------------------------------------------------------------- Slack (slowest paths): 0.716ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[11].i_TxFB_T (FF) Destination: TxFB_n<12> (PAD) Source Clock: TTCclkOut<3> rising at 3.404ns Requirement: 7.200ns Data Path Delay: 3.114ns (Levels of Logic = 2) Clock Path Delay: -0.309ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<3> to g_TxFB[11].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- P3.I Tiopi 1.387 TTC_CLK_p<3> TTC_CLK_p<3> g_TTCclkOut[3].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.7 BUFIO2_X0Y22.I net (fanout=1) 0.643 TTCclk_in<3> BUFIO2_X0Y22.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_3 SP6_BUFIO_INSERT_ML_BUFIO2_3 DCM_X0Y6.CLKIN net (fanout=1) 1.248 g_TTCclkOut[3].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y6.CLK0 Tdmcko_CLK -7.386 g_TTCclkOut[3].i_DCM_TTCclkOut g_TTCclkOut[3].i_DCM_TTCclkOut BUFGMUX_X3Y13.I0 net (fanout=1) 1.050 TTCclkOut_dcm<3> BUFGMUX_X3Y13.O Tgi0o 0.209 g_TTCclkOut[3].i_TTCclk_buf g_TTCclkOut[3].i_TTCclk_buf OLOGIC_X2Y3.CLK0 net (fanout=7) 2.350 TTCclkOut<3> ------------------------------------------------- --------------------------- Total -0.309ns (-5.600ns logic, 5.291ns route) Maximum Data Path at Slow Process Corner: g_TxFB[11].i_TxFB_T to TxFB_n<12> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X2Y3.TQ Tockq 0.740 TxFB<12> g_TxFB[11].i_TxFB_T AA4.T net (fanout=1) 0.438 TxFB_T<12> AA4.DIFFO_OUT Tiotd 1.909 TxFB_p<12> g_FB[12].i_TxFB/OBUFTDS AB4.DIFFO_IN net (fanout=1) 0.000 g_FB[12].i_TxFB/SLAVEBUF.DIFFOUT AB4.PAD Tiodop 0.027 TxFB_n<12> ProtoComp374.DIFFO_INUSED.3 TxFB_n<12> ------------------------------------------------- --------------------------- Total 3.114ns (2.676ns logic, 0.438ns route) (85.9% logic, 14.1% route) -------------------------------------------------------------------------------- Paths for end point TxFB_n<10> (AB7.PAD), 2 paths -------------------------------------------------------------------------------- Slack (slowest paths): 0.349ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[9].i_TxFB (FF) Destination: TxFB_n<10> (PAD) Source Clock: TTCclkOut<3> rising at 3.404ns Requirement: 7.200ns Data Path Delay: 3.481ns (Levels of Logic = 2) Clock Path Delay: -0.309ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<3> to g_TxFB[9].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- P3.I Tiopi 1.387 TTC_CLK_p<3> TTC_CLK_p<3> g_TTCclkOut[3].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.7 BUFIO2_X0Y22.I net (fanout=1) 0.643 TTCclk_in<3> BUFIO2_X0Y22.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_3 SP6_BUFIO_INSERT_ML_BUFIO2_3 DCM_X0Y6.CLKIN net (fanout=1) 1.248 g_TTCclkOut[3].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y6.CLK0 Tdmcko_CLK -7.386 g_TTCclkOut[3].i_DCM_TTCclkOut g_TTCclkOut[3].i_DCM_TTCclkOut BUFGMUX_X3Y13.I0 net (fanout=1) 1.050 TTCclkOut_dcm<3> BUFGMUX_X3Y13.O Tgi0o 0.209 g_TTCclkOut[3].i_TTCclk_buf g_TTCclkOut[3].i_TTCclk_buf OLOGIC_X8Y1.CLK0 net (fanout=7) 2.350 TTCclkOut<3> ------------------------------------------------- --------------------------- Total -0.309ns (-5.600ns logic, 5.291ns route) Maximum Data Path at Slow Process Corner: g_TxFB[9].i_TxFB to TxFB_n<10> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X8Y1.OQ Tockq 1.158 TxFB<10> g_TxFB[9].i_TxFB Y7.O net (fanout=1) 0.387 TxFB<10> Y7.DIFFO_OUT Tiood 1.909 TxFB_p<10> g_FB[10].i_TxFB/OBUFTDS AB7.DIFFO_IN net (fanout=1) 0.000 g_FB[10].i_TxFB/SLAVEBUF.DIFFOUT AB7.PAD Tiodop 0.027 TxFB_n<10> ProtoComp374.DIFFO_INUSED.1 TxFB_n<10> ------------------------------------------------- --------------------------- Total 3.481ns (3.094ns logic, 0.387ns route) (88.9% logic, 11.1% route) -------------------------------------------------------------------------------- Slack (slowest paths): 0.767ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[9].i_TxFB_T (FF) Destination: TxFB_n<10> (PAD) Source Clock: TTCclkOut<3> rising at 3.404ns Requirement: 7.200ns Data Path Delay: 3.063ns (Levels of Logic = 2) Clock Path Delay: -0.309ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<3> to g_TxFB[9].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- P3.I Tiopi 1.387 TTC_CLK_p<3> TTC_CLK_p<3> g_TTCclkOut[3].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.7 BUFIO2_X0Y22.I net (fanout=1) 0.643 TTCclk_in<3> BUFIO2_X0Y22.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_3 SP6_BUFIO_INSERT_ML_BUFIO2_3 DCM_X0Y6.CLKIN net (fanout=1) 1.248 g_TTCclkOut[3].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y6.CLK0 Tdmcko_CLK -7.386 g_TTCclkOut[3].i_DCM_TTCclkOut g_TTCclkOut[3].i_DCM_TTCclkOut BUFGMUX_X3Y13.I0 net (fanout=1) 1.050 TTCclkOut_dcm<3> BUFGMUX_X3Y13.O Tgi0o 0.209 g_TTCclkOut[3].i_TTCclk_buf g_TTCclkOut[3].i_TTCclk_buf OLOGIC_X8Y1.CLK0 net (fanout=7) 2.350 TTCclkOut<3> ------------------------------------------------- --------------------------- Total -0.309ns (-5.600ns logic, 5.291ns route) Maximum Data Path at Slow Process Corner: g_TxFB[9].i_TxFB_T to TxFB_n<10> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X8Y1.TQ Tockq 0.740 TxFB<10> g_TxFB[9].i_TxFB_T Y7.T net (fanout=1) 0.387 TxFB_T<10> Y7.DIFFO_OUT Tiotd 1.909 TxFB_p<10> g_FB[10].i_TxFB/OBUFTDS AB7.DIFFO_IN net (fanout=1) 0.000 g_FB[10].i_TxFB/SLAVEBUF.DIFFOUT AB7.PAD Tiodop 0.027 TxFB_n<10> ProtoComp374.DIFFO_INUSED.1 TxFB_n<10> ------------------------------------------------- --------------------------- Total 3.063ns (2.676ns logic, 0.387ns route) (87.4% logic, 12.6% route) -------------------------------------------------------------------------------- Paths for end point TxFB_n<11> (AB6.PAD), 2 paths -------------------------------------------------------------------------------- Slack (slowest paths): 0.349ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[10].i_TxFB (FF) Destination: TxFB_n<11> (PAD) Source Clock: TTCclkOut<3> rising at 3.404ns Requirement: 7.200ns Data Path Delay: 3.481ns (Levels of Logic = 2) Clock Path Delay: -0.309ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<3> to g_TxFB[10].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- P3.I Tiopi 1.387 TTC_CLK_p<3> TTC_CLK_p<3> g_TTCclkOut[3].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.7 BUFIO2_X0Y22.I net (fanout=1) 0.643 TTCclk_in<3> BUFIO2_X0Y22.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_3 SP6_BUFIO_INSERT_ML_BUFIO2_3 DCM_X0Y6.CLKIN net (fanout=1) 1.248 g_TTCclkOut[3].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y6.CLK0 Tdmcko_CLK -7.386 g_TTCclkOut[3].i_DCM_TTCclkOut g_TTCclkOut[3].i_DCM_TTCclkOut BUFGMUX_X3Y13.I0 net (fanout=1) 1.050 TTCclkOut_dcm<3> BUFGMUX_X3Y13.O Tgi0o 0.209 g_TTCclkOut[3].i_TTCclk_buf g_TTCclkOut[3].i_TTCclk_buf OLOGIC_X7Y1.CLK0 net (fanout=7) 2.350 TTCclkOut<3> ------------------------------------------------- --------------------------- Total -0.309ns (-5.600ns logic, 5.291ns route) Maximum Data Path at Slow Process Corner: g_TxFB[10].i_TxFB to TxFB_n<11> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X7Y1.OQ Tockq 1.158 TxFB<11> g_TxFB[10].i_TxFB AA6.O net (fanout=1) 0.387 TxFB<11> AA6.DIFFO_OUT Tiood 1.909 TxFB_p<11> g_FB[11].i_TxFB/OBUFTDS AB6.DIFFO_IN net (fanout=1) 0.000 g_FB[11].i_TxFB/SLAVEBUF.DIFFOUT AB6.PAD Tiodop 0.027 TxFB_n<11> ProtoComp374.DIFFO_INUSED.2 TxFB_n<11> ------------------------------------------------- --------------------------- Total 3.481ns (3.094ns logic, 0.387ns route) (88.9% logic, 11.1% route) -------------------------------------------------------------------------------- Slack (slowest paths): 0.767ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[10].i_TxFB_T (FF) Destination: TxFB_n<11> (PAD) Source Clock: TTCclkOut<3> rising at 3.404ns Requirement: 7.200ns Data Path Delay: 3.063ns (Levels of Logic = 2) Clock Path Delay: -0.309ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<3> to g_TxFB[10].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- P3.I Tiopi 1.387 TTC_CLK_p<3> TTC_CLK_p<3> g_TTCclkOut[3].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.7 BUFIO2_X0Y22.I net (fanout=1) 0.643 TTCclk_in<3> BUFIO2_X0Y22.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_3 SP6_BUFIO_INSERT_ML_BUFIO2_3 DCM_X0Y6.CLKIN net (fanout=1) 1.248 g_TTCclkOut[3].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y6.CLK0 Tdmcko_CLK -7.386 g_TTCclkOut[3].i_DCM_TTCclkOut g_TTCclkOut[3].i_DCM_TTCclkOut BUFGMUX_X3Y13.I0 net (fanout=1) 1.050 TTCclkOut_dcm<3> BUFGMUX_X3Y13.O Tgi0o 0.209 g_TTCclkOut[3].i_TTCclk_buf g_TTCclkOut[3].i_TTCclk_buf OLOGIC_X7Y1.CLK0 net (fanout=7) 2.350 TTCclkOut<3> ------------------------------------------------- --------------------------- Total -0.309ns (-5.600ns logic, 5.291ns route) Maximum Data Path at Slow Process Corner: g_TxFB[10].i_TxFB_T to TxFB_n<11> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X7Y1.TQ Tockq 0.740 TxFB<11> g_TxFB[10].i_TxFB_T AA6.T net (fanout=1) 0.387 TxFB_T<11> AA6.DIFFO_OUT Tiotd 1.909 TxFB_p<11> g_FB[11].i_TxFB/OBUFTDS AB6.DIFFO_IN net (fanout=1) 0.000 g_FB[11].i_TxFB/SLAVEBUF.DIFFOUT AB6.PAD Tiodop 0.027 TxFB_n<11> ProtoComp374.DIFFO_INUSED.2 TxFB_n<11> ------------------------------------------------- --------------------------- Total 3.063ns (2.676ns logic, 0.387ns route) (87.4% logic, 12.6% route) -------------------------------------------------------------------------------- Fastest Paths: OFFSET = OUT 7.2 ns AFTER COMP "TTC_CLK_p<3>" "RISING"; -------------------------------------------------------------------------------- Paths for end point TxFB_p<10> (Y7.PAD), 2 paths -------------------------------------------------------------------------------- Delay (fastest paths): 4.405ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[9].i_TxFB (FF) Destination: TxFB_p<10> (PAD) Source Clock: TTCclkOut<3> rising at 3.404ns Data Path Delay: 1.292ns (Levels of Logic = 1) Clock Path Delay: -0.016ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<3> to g_TxFB[9].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- P3.I Tiopi 0.618 TTC_CLK_p<3> TTC_CLK_p<3> g_TTCclkOut[3].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.7 BUFIO2_X0Y22.I net (fanout=1) 0.220 TTCclk_in<3> BUFIO2_X0Y22.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_3 SP6_BUFIO_INSERT_ML_BUFIO2_3 DCM_X0Y6.CLKIN net (fanout=1) 0.388 g_TTCclkOut[3].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y6.CLK0 Tdmcko_CLK -2.640 g_TTCclkOut[3].i_DCM_TTCclkOut g_TTCclkOut[3].i_DCM_TTCclkOut BUFGMUX_X3Y13.I0 net (fanout=1) 0.325 TTCclkOut_dcm<3> BUFGMUX_X3Y13.O Tgi0o 0.059 g_TTCclkOut[3].i_TTCclk_buf g_TTCclkOut[3].i_TTCclk_buf OLOGIC_X8Y1.CLK0 net (fanout=7) 0.892 TTCclkOut<3> ------------------------------------------------- --------------------------- Total -0.016ns (-1.841ns logic, 1.825ns route) Minimum Data Path at Fast Process Corner: g_TxFB[9].i_TxFB to TxFB_p<10> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X8Y1.OQ Tockq 0.419 TxFB<10> g_TxFB[9].i_TxFB Y7.O net (fanout=1) 0.268 TxFB<10> Y7.PAD Tioop 0.605 TxFB_p<10> g_FB[10].i_TxFB/OBUFTDS TxFB_p<10> ------------------------------------------------- --------------------------- Total 1.292ns (1.024ns logic, 0.268ns route) (79.3% logic, 20.7% route) -------------------------------------------------------------------------------- Delay (fastest paths): 4.231ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[9].i_TxFB_T (FF) Destination: TxFB_p<10> (PAD) Source Clock: TTCclkOut<3> rising at 3.404ns Data Path Delay: 1.118ns (Levels of Logic = 1) Clock Path Delay: -0.016ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<3> to g_TxFB[9].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- P3.I Tiopi 0.618 TTC_CLK_p<3> TTC_CLK_p<3> g_TTCclkOut[3].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.7 BUFIO2_X0Y22.I net (fanout=1) 0.220 TTCclk_in<3> BUFIO2_X0Y22.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_3 SP6_BUFIO_INSERT_ML_BUFIO2_3 DCM_X0Y6.CLKIN net (fanout=1) 0.388 g_TTCclkOut[3].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y6.CLK0 Tdmcko_CLK -2.640 g_TTCclkOut[3].i_DCM_TTCclkOut g_TTCclkOut[3].i_DCM_TTCclkOut BUFGMUX_X3Y13.I0 net (fanout=1) 0.325 TTCclkOut_dcm<3> BUFGMUX_X3Y13.O Tgi0o 0.059 g_TTCclkOut[3].i_TTCclk_buf g_TTCclkOut[3].i_TTCclk_buf OLOGIC_X8Y1.CLK0 net (fanout=7) 0.892 TTCclkOut<3> ------------------------------------------------- --------------------------- Total -0.016ns (-1.841ns logic, 1.825ns route) Minimum Data Path at Fast Process Corner: g_TxFB[9].i_TxFB_T to TxFB_p<10> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X8Y1.TQ Tockq 0.245 TxFB<10> g_TxFB[9].i_TxFB_T Y7.T net (fanout=1) 0.268 TxFB_T<10> Y7.PAD Tiotp 0.605 TxFB_p<10> g_FB[10].i_TxFB/OBUFTDS TxFB_p<10> ------------------------------------------------- --------------------------- Total 1.118ns (0.850ns logic, 0.268ns route) (76.0% logic, 24.0% route) -------------------------------------------------------------------------------- Paths for end point TxFB_p<11> (AA6.PAD), 2 paths -------------------------------------------------------------------------------- Delay (fastest paths): 4.405ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[10].i_TxFB (FF) Destination: TxFB_p<11> (PAD) Source Clock: TTCclkOut<3> rising at 3.404ns Data Path Delay: 1.292ns (Levels of Logic = 1) Clock Path Delay: -0.016ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<3> to g_TxFB[10].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- P3.I Tiopi 0.618 TTC_CLK_p<3> TTC_CLK_p<3> g_TTCclkOut[3].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.7 BUFIO2_X0Y22.I net (fanout=1) 0.220 TTCclk_in<3> BUFIO2_X0Y22.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_3 SP6_BUFIO_INSERT_ML_BUFIO2_3 DCM_X0Y6.CLKIN net (fanout=1) 0.388 g_TTCclkOut[3].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y6.CLK0 Tdmcko_CLK -2.640 g_TTCclkOut[3].i_DCM_TTCclkOut g_TTCclkOut[3].i_DCM_TTCclkOut BUFGMUX_X3Y13.I0 net (fanout=1) 0.325 TTCclkOut_dcm<3> BUFGMUX_X3Y13.O Tgi0o 0.059 g_TTCclkOut[3].i_TTCclk_buf g_TTCclkOut[3].i_TTCclk_buf OLOGIC_X7Y1.CLK0 net (fanout=7) 0.892 TTCclkOut<3> ------------------------------------------------- --------------------------- Total -0.016ns (-1.841ns logic, 1.825ns route) Minimum Data Path at Fast Process Corner: g_TxFB[10].i_TxFB to TxFB_p<11> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X7Y1.OQ Tockq 0.419 TxFB<11> g_TxFB[10].i_TxFB AA6.O net (fanout=1) 0.268 TxFB<11> AA6.PAD Tioop 0.605 TxFB_p<11> g_FB[11].i_TxFB/OBUFTDS TxFB_p<11> ------------------------------------------------- --------------------------- Total 1.292ns (1.024ns logic, 0.268ns route) (79.3% logic, 20.7% route) -------------------------------------------------------------------------------- Delay (fastest paths): 4.231ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[10].i_TxFB_T (FF) Destination: TxFB_p<11> (PAD) Source Clock: TTCclkOut<3> rising at 3.404ns Data Path Delay: 1.118ns (Levels of Logic = 1) Clock Path Delay: -0.016ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<3> to g_TxFB[10].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- P3.I Tiopi 0.618 TTC_CLK_p<3> TTC_CLK_p<3> g_TTCclkOut[3].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.7 BUFIO2_X0Y22.I net (fanout=1) 0.220 TTCclk_in<3> BUFIO2_X0Y22.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_3 SP6_BUFIO_INSERT_ML_BUFIO2_3 DCM_X0Y6.CLKIN net (fanout=1) 0.388 g_TTCclkOut[3].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y6.CLK0 Tdmcko_CLK -2.640 g_TTCclkOut[3].i_DCM_TTCclkOut g_TTCclkOut[3].i_DCM_TTCclkOut BUFGMUX_X3Y13.I0 net (fanout=1) 0.325 TTCclkOut_dcm<3> BUFGMUX_X3Y13.O Tgi0o 0.059 g_TTCclkOut[3].i_TTCclk_buf g_TTCclkOut[3].i_TTCclk_buf OLOGIC_X7Y1.CLK0 net (fanout=7) 0.892 TTCclkOut<3> ------------------------------------------------- --------------------------- Total -0.016ns (-1.841ns logic, 1.825ns route) Minimum Data Path at Fast Process Corner: g_TxFB[10].i_TxFB_T to TxFB_p<11> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X7Y1.TQ Tockq 0.245 TxFB<11> g_TxFB[10].i_TxFB_T AA6.T net (fanout=1) 0.268 TxFB_T<11> AA6.PAD Tiotp 0.605 TxFB_p<11> g_FB[11].i_TxFB/OBUFTDS TxFB_p<11> ------------------------------------------------- --------------------------- Total 1.118ns (0.850ns logic, 0.268ns route) (76.0% logic, 24.0% route) -------------------------------------------------------------------------------- Paths for end point TxFB_n<10> (AB7.PAD), 2 paths -------------------------------------------------------------------------------- Delay (fastest paths): 4.421ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[9].i_TxFB (FF) Destination: TxFB_n<10> (PAD) Source Clock: TTCclkOut<3> rising at 3.404ns Data Path Delay: 1.308ns (Levels of Logic = 2) Clock Path Delay: -0.016ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<3> to g_TxFB[9].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- P3.I Tiopi 0.618 TTC_CLK_p<3> TTC_CLK_p<3> g_TTCclkOut[3].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.7 BUFIO2_X0Y22.I net (fanout=1) 0.220 TTCclk_in<3> BUFIO2_X0Y22.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_3 SP6_BUFIO_INSERT_ML_BUFIO2_3 DCM_X0Y6.CLKIN net (fanout=1) 0.388 g_TTCclkOut[3].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y6.CLK0 Tdmcko_CLK -2.640 g_TTCclkOut[3].i_DCM_TTCclkOut g_TTCclkOut[3].i_DCM_TTCclkOut BUFGMUX_X3Y13.I0 net (fanout=1) 0.325 TTCclkOut_dcm<3> BUFGMUX_X3Y13.O Tgi0o 0.059 g_TTCclkOut[3].i_TTCclk_buf g_TTCclkOut[3].i_TTCclk_buf OLOGIC_X8Y1.CLK0 net (fanout=7) 0.892 TTCclkOut<3> ------------------------------------------------- --------------------------- Total -0.016ns (-1.841ns logic, 1.825ns route) Minimum Data Path at Fast Process Corner: g_TxFB[9].i_TxFB to TxFB_n<10> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X8Y1.OQ Tockq 0.419 TxFB<10> g_TxFB[9].i_TxFB Y7.O net (fanout=1) 0.268 TxFB<10> Y7.DIFFO_OUT Tiood 0.613 TxFB_p<10> g_FB[10].i_TxFB/OBUFTDS AB7.DIFFO_IN net (fanout=1) 0.000 g_FB[10].i_TxFB/SLAVEBUF.DIFFOUT AB7.PAD Tiodop 0.008 TxFB_n<10> ProtoComp374.DIFFO_INUSED.1 TxFB_n<10> ------------------------------------------------- --------------------------- Total 1.308ns (1.040ns logic, 0.268ns route) (79.5% logic, 20.5% route) -------------------------------------------------------------------------------- Delay (fastest paths): 4.247ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[9].i_TxFB_T (FF) Destination: TxFB_n<10> (PAD) Source Clock: TTCclkOut<3> rising at 3.404ns Data Path Delay: 1.134ns (Levels of Logic = 2) Clock Path Delay: -0.016ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<3> to g_TxFB[9].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- P3.I Tiopi 0.618 TTC_CLK_p<3> TTC_CLK_p<3> g_TTCclkOut[3].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.7 BUFIO2_X0Y22.I net (fanout=1) 0.220 TTCclk_in<3> BUFIO2_X0Y22.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_3 SP6_BUFIO_INSERT_ML_BUFIO2_3 DCM_X0Y6.CLKIN net (fanout=1) 0.388 g_TTCclkOut[3].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y6.CLK0 Tdmcko_CLK -2.640 g_TTCclkOut[3].i_DCM_TTCclkOut g_TTCclkOut[3].i_DCM_TTCclkOut BUFGMUX_X3Y13.I0 net (fanout=1) 0.325 TTCclkOut_dcm<3> BUFGMUX_X3Y13.O Tgi0o 0.059 g_TTCclkOut[3].i_TTCclk_buf g_TTCclkOut[3].i_TTCclk_buf OLOGIC_X8Y1.CLK0 net (fanout=7) 0.892 TTCclkOut<3> ------------------------------------------------- --------------------------- Total -0.016ns (-1.841ns logic, 1.825ns route) Minimum Data Path at Fast Process Corner: g_TxFB[9].i_TxFB_T to TxFB_n<10> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X8Y1.TQ Tockq 0.245 TxFB<10> g_TxFB[9].i_TxFB_T Y7.T net (fanout=1) 0.268 TxFB_T<10> Y7.DIFFO_OUT Tiotd 0.613 TxFB_p<10> g_FB[10].i_TxFB/OBUFTDS AB7.DIFFO_IN net (fanout=1) 0.000 g_FB[10].i_TxFB/SLAVEBUF.DIFFOUT AB7.PAD Tiodop 0.008 TxFB_n<10> ProtoComp374.DIFFO_INUSED.1 TxFB_n<10> ------------------------------------------------- --------------------------- Total 1.134ns (0.866ns logic, 0.268ns route) (76.4% logic, 23.6% route) -------------------------------------------------------------------------------- ================================================================================ Timing constraint: OFFSET = OUT 7.2 ns AFTER COMP "TTC_CLK_p<3>" "FALLING"; For more information, see Offset Out Analysis in the Timing Closure User Guide (UG612). 12 paths analyzed, 6 endpoints analyzed, 0 failing endpoints 0 timing errors detected. Minimum allowable offset is 6.902ns. -------------------------------------------------------------------------------- Paths for end point TxFB_n<12> (AB4.PAD), 2 paths -------------------------------------------------------------------------------- Slack (slowest paths): 0.298ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[11].i_TxFB (FF) Destination: TxFB_n<12> (PAD) Source Clock: TTCclkOut<3> falling at 3.404ns Requirement: 7.200ns Data Path Delay: 3.532ns (Levels of Logic = 2) Clock Path Delay: -0.309ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<3> to g_TxFB[11].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- P3.I Tiopi 1.387 TTC_CLK_p<3> TTC_CLK_p<3> g_TTCclkOut[3].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.7 BUFIO2_X0Y22.I net (fanout=1) 0.643 TTCclk_in<3> BUFIO2_X0Y22.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_3 SP6_BUFIO_INSERT_ML_BUFIO2_3 DCM_X0Y6.CLKIN net (fanout=1) 1.248 g_TTCclkOut[3].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y6.CLK0 Tdmcko_CLK -7.386 g_TTCclkOut[3].i_DCM_TTCclkOut g_TTCclkOut[3].i_DCM_TTCclkOut BUFGMUX_X3Y13.I0 net (fanout=1) 1.050 TTCclkOut_dcm<3> BUFGMUX_X3Y13.O Tgi0o 0.209 g_TTCclkOut[3].i_TTCclk_buf g_TTCclkOut[3].i_TTCclk_buf OLOGIC_X2Y3.CLK1 net (fanout=7) 2.350 TTCclkOut<3> ------------------------------------------------- --------------------------- Total -0.309ns (-5.600ns logic, 5.291ns route) Maximum Data Path at Slow Process Corner: g_TxFB[11].i_TxFB to TxFB_n<12> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X2Y3.OQ Tockq 1.158 TxFB<12> g_TxFB[11].i_TxFB AA4.O net (fanout=1) 0.438 TxFB<12> AA4.DIFFO_OUT Tiood 1.909 TxFB_p<12> g_FB[12].i_TxFB/OBUFTDS AB4.DIFFO_IN net (fanout=1) 0.000 g_FB[12].i_TxFB/SLAVEBUF.DIFFOUT AB4.PAD Tiodop 0.027 TxFB_n<12> ProtoComp374.DIFFO_INUSED.3 TxFB_n<12> ------------------------------------------------- --------------------------- Total 3.532ns (3.094ns logic, 0.438ns route) (87.6% logic, 12.4% route) -------------------------------------------------------------------------------- Slack (slowest paths): 0.716ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[11].i_TxFB_T (FF) Destination: TxFB_n<12> (PAD) Source Clock: TTCclkOut<3> falling at 3.404ns Requirement: 7.200ns Data Path Delay: 3.114ns (Levels of Logic = 2) Clock Path Delay: -0.309ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<3> to g_TxFB[11].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- P3.I Tiopi 1.387 TTC_CLK_p<3> TTC_CLK_p<3> g_TTCclkOut[3].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.7 BUFIO2_X0Y22.I net (fanout=1) 0.643 TTCclk_in<3> BUFIO2_X0Y22.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_3 SP6_BUFIO_INSERT_ML_BUFIO2_3 DCM_X0Y6.CLKIN net (fanout=1) 1.248 g_TTCclkOut[3].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y6.CLK0 Tdmcko_CLK -7.386 g_TTCclkOut[3].i_DCM_TTCclkOut g_TTCclkOut[3].i_DCM_TTCclkOut BUFGMUX_X3Y13.I0 net (fanout=1) 1.050 TTCclkOut_dcm<3> BUFGMUX_X3Y13.O Tgi0o 0.209 g_TTCclkOut[3].i_TTCclk_buf g_TTCclkOut[3].i_TTCclk_buf OLOGIC_X2Y3.CLK1 net (fanout=7) 2.350 TTCclkOut<3> ------------------------------------------------- --------------------------- Total -0.309ns (-5.600ns logic, 5.291ns route) Maximum Data Path at Slow Process Corner: g_TxFB[11].i_TxFB_T to TxFB_n<12> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X2Y3.TQ Tockq 0.740 TxFB<12> g_TxFB[11].i_TxFB_T AA4.T net (fanout=1) 0.438 TxFB_T<12> AA4.DIFFO_OUT Tiotd 1.909 TxFB_p<12> g_FB[12].i_TxFB/OBUFTDS AB4.DIFFO_IN net (fanout=1) 0.000 g_FB[12].i_TxFB/SLAVEBUF.DIFFOUT AB4.PAD Tiodop 0.027 TxFB_n<12> ProtoComp374.DIFFO_INUSED.3 TxFB_n<12> ------------------------------------------------- --------------------------- Total 3.114ns (2.676ns logic, 0.438ns route) (85.9% logic, 14.1% route) -------------------------------------------------------------------------------- Paths for end point TxFB_n<10> (AB7.PAD), 2 paths -------------------------------------------------------------------------------- Slack (slowest paths): 0.349ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[9].i_TxFB (FF) Destination: TxFB_n<10> (PAD) Source Clock: TTCclkOut<3> falling at 3.404ns Requirement: 7.200ns Data Path Delay: 3.481ns (Levels of Logic = 2) Clock Path Delay: -0.309ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<3> to g_TxFB[9].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- P3.I Tiopi 1.387 TTC_CLK_p<3> TTC_CLK_p<3> g_TTCclkOut[3].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.7 BUFIO2_X0Y22.I net (fanout=1) 0.643 TTCclk_in<3> BUFIO2_X0Y22.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_3 SP6_BUFIO_INSERT_ML_BUFIO2_3 DCM_X0Y6.CLKIN net (fanout=1) 1.248 g_TTCclkOut[3].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y6.CLK0 Tdmcko_CLK -7.386 g_TTCclkOut[3].i_DCM_TTCclkOut g_TTCclkOut[3].i_DCM_TTCclkOut BUFGMUX_X3Y13.I0 net (fanout=1) 1.050 TTCclkOut_dcm<3> BUFGMUX_X3Y13.O Tgi0o 0.209 g_TTCclkOut[3].i_TTCclk_buf g_TTCclkOut[3].i_TTCclk_buf OLOGIC_X8Y1.CLK1 net (fanout=7) 2.350 TTCclkOut<3> ------------------------------------------------- --------------------------- Total -0.309ns (-5.600ns logic, 5.291ns route) Maximum Data Path at Slow Process Corner: g_TxFB[9].i_TxFB to TxFB_n<10> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X8Y1.OQ Tockq 1.158 TxFB<10> g_TxFB[9].i_TxFB Y7.O net (fanout=1) 0.387 TxFB<10> Y7.DIFFO_OUT Tiood 1.909 TxFB_p<10> g_FB[10].i_TxFB/OBUFTDS AB7.DIFFO_IN net (fanout=1) 0.000 g_FB[10].i_TxFB/SLAVEBUF.DIFFOUT AB7.PAD Tiodop 0.027 TxFB_n<10> ProtoComp374.DIFFO_INUSED.1 TxFB_n<10> ------------------------------------------------- --------------------------- Total 3.481ns (3.094ns logic, 0.387ns route) (88.9% logic, 11.1% route) -------------------------------------------------------------------------------- Slack (slowest paths): 0.767ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[9].i_TxFB_T (FF) Destination: TxFB_n<10> (PAD) Source Clock: TTCclkOut<3> falling at 3.404ns Requirement: 7.200ns Data Path Delay: 3.063ns (Levels of Logic = 2) Clock Path Delay: -0.309ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<3> to g_TxFB[9].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- P3.I Tiopi 1.387 TTC_CLK_p<3> TTC_CLK_p<3> g_TTCclkOut[3].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.7 BUFIO2_X0Y22.I net (fanout=1) 0.643 TTCclk_in<3> BUFIO2_X0Y22.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_3 SP6_BUFIO_INSERT_ML_BUFIO2_3 DCM_X0Y6.CLKIN net (fanout=1) 1.248 g_TTCclkOut[3].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y6.CLK0 Tdmcko_CLK -7.386 g_TTCclkOut[3].i_DCM_TTCclkOut g_TTCclkOut[3].i_DCM_TTCclkOut BUFGMUX_X3Y13.I0 net (fanout=1) 1.050 TTCclkOut_dcm<3> BUFGMUX_X3Y13.O Tgi0o 0.209 g_TTCclkOut[3].i_TTCclk_buf g_TTCclkOut[3].i_TTCclk_buf OLOGIC_X8Y1.CLK1 net (fanout=7) 2.350 TTCclkOut<3> ------------------------------------------------- --------------------------- Total -0.309ns (-5.600ns logic, 5.291ns route) Maximum Data Path at Slow Process Corner: g_TxFB[9].i_TxFB_T to TxFB_n<10> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X8Y1.TQ Tockq 0.740 TxFB<10> g_TxFB[9].i_TxFB_T Y7.T net (fanout=1) 0.387 TxFB_T<10> Y7.DIFFO_OUT Tiotd 1.909 TxFB_p<10> g_FB[10].i_TxFB/OBUFTDS AB7.DIFFO_IN net (fanout=1) 0.000 g_FB[10].i_TxFB/SLAVEBUF.DIFFOUT AB7.PAD Tiodop 0.027 TxFB_n<10> ProtoComp374.DIFFO_INUSED.1 TxFB_n<10> ------------------------------------------------- --------------------------- Total 3.063ns (2.676ns logic, 0.387ns route) (87.4% logic, 12.6% route) -------------------------------------------------------------------------------- Paths for end point TxFB_n<11> (AB6.PAD), 2 paths -------------------------------------------------------------------------------- Slack (slowest paths): 0.349ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[10].i_TxFB (FF) Destination: TxFB_n<11> (PAD) Source Clock: TTCclkOut<3> falling at 3.404ns Requirement: 7.200ns Data Path Delay: 3.481ns (Levels of Logic = 2) Clock Path Delay: -0.309ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<3> to g_TxFB[10].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- P3.I Tiopi 1.387 TTC_CLK_p<3> TTC_CLK_p<3> g_TTCclkOut[3].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.7 BUFIO2_X0Y22.I net (fanout=1) 0.643 TTCclk_in<3> BUFIO2_X0Y22.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_3 SP6_BUFIO_INSERT_ML_BUFIO2_3 DCM_X0Y6.CLKIN net (fanout=1) 1.248 g_TTCclkOut[3].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y6.CLK0 Tdmcko_CLK -7.386 g_TTCclkOut[3].i_DCM_TTCclkOut g_TTCclkOut[3].i_DCM_TTCclkOut BUFGMUX_X3Y13.I0 net (fanout=1) 1.050 TTCclkOut_dcm<3> BUFGMUX_X3Y13.O Tgi0o 0.209 g_TTCclkOut[3].i_TTCclk_buf g_TTCclkOut[3].i_TTCclk_buf OLOGIC_X7Y1.CLK1 net (fanout=7) 2.350 TTCclkOut<3> ------------------------------------------------- --------------------------- Total -0.309ns (-5.600ns logic, 5.291ns route) Maximum Data Path at Slow Process Corner: g_TxFB[10].i_TxFB to TxFB_n<11> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X7Y1.OQ Tockq 1.158 TxFB<11> g_TxFB[10].i_TxFB AA6.O net (fanout=1) 0.387 TxFB<11> AA6.DIFFO_OUT Tiood 1.909 TxFB_p<11> g_FB[11].i_TxFB/OBUFTDS AB6.DIFFO_IN net (fanout=1) 0.000 g_FB[11].i_TxFB/SLAVEBUF.DIFFOUT AB6.PAD Tiodop 0.027 TxFB_n<11> ProtoComp374.DIFFO_INUSED.2 TxFB_n<11> ------------------------------------------------- --------------------------- Total 3.481ns (3.094ns logic, 0.387ns route) (88.9% logic, 11.1% route) -------------------------------------------------------------------------------- Slack (slowest paths): 0.767ns (requirement - (clock arrival + clock path + data path + uncertainty)) Source: g_TxFB[10].i_TxFB_T (FF) Destination: TxFB_n<11> (PAD) Source Clock: TTCclkOut<3> falling at 3.404ns Requirement: 7.200ns Data Path Delay: 3.063ns (Levels of Logic = 2) Clock Path Delay: -0.309ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Maximum Clock Path at Slow Process Corner: TTC_CLK_p<3> to g_TxFB[10].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- P3.I Tiopi 1.387 TTC_CLK_p<3> TTC_CLK_p<3> g_TTCclkOut[3].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.7 BUFIO2_X0Y22.I net (fanout=1) 0.643 TTCclk_in<3> BUFIO2_X0Y22.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO_INSERT_ML_BUFIO2_3 SP6_BUFIO_INSERT_ML_BUFIO2_3 DCM_X0Y6.CLKIN net (fanout=1) 1.248 g_TTCclkOut[3].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y6.CLK0 Tdmcko_CLK -7.386 g_TTCclkOut[3].i_DCM_TTCclkOut g_TTCclkOut[3].i_DCM_TTCclkOut BUFGMUX_X3Y13.I0 net (fanout=1) 1.050 TTCclkOut_dcm<3> BUFGMUX_X3Y13.O Tgi0o 0.209 g_TTCclkOut[3].i_TTCclk_buf g_TTCclkOut[3].i_TTCclk_buf OLOGIC_X7Y1.CLK1 net (fanout=7) 2.350 TTCclkOut<3> ------------------------------------------------- --------------------------- Total -0.309ns (-5.600ns logic, 5.291ns route) Maximum Data Path at Slow Process Corner: g_TxFB[10].i_TxFB_T to TxFB_n<11> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X7Y1.TQ Tockq 0.740 TxFB<11> g_TxFB[10].i_TxFB_T AA6.T net (fanout=1) 0.387 TxFB_T<11> AA6.DIFFO_OUT Tiotd 1.909 TxFB_p<11> g_FB[11].i_TxFB/OBUFTDS AB6.DIFFO_IN net (fanout=1) 0.000 g_FB[11].i_TxFB/SLAVEBUF.DIFFOUT AB6.PAD Tiodop 0.027 TxFB_n<11> ProtoComp374.DIFFO_INUSED.2 TxFB_n<11> ------------------------------------------------- --------------------------- Total 3.063ns (2.676ns logic, 0.387ns route) (87.4% logic, 12.6% route) -------------------------------------------------------------------------------- Fastest Paths: OFFSET = OUT 7.2 ns AFTER COMP "TTC_CLK_p<3>" "FALLING"; -------------------------------------------------------------------------------- Paths for end point TxFB_p<10> (Y7.PAD), 2 paths -------------------------------------------------------------------------------- Delay (fastest paths): 4.411ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[9].i_TxFB (FF) Destination: TxFB_p<10> (PAD) Source Clock: TTCclkOut<3> falling at 3.404ns Data Path Delay: 1.298ns (Levels of Logic = 1) Clock Path Delay: -0.016ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<3> to g_TxFB[9].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- P3.I Tiopi 0.618 TTC_CLK_p<3> TTC_CLK_p<3> g_TTCclkOut[3].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.7 BUFIO2_X0Y22.I net (fanout=1) 0.220 TTCclk_in<3> BUFIO2_X0Y22.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_3 SP6_BUFIO_INSERT_ML_BUFIO2_3 DCM_X0Y6.CLKIN net (fanout=1) 0.388 g_TTCclkOut[3].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y6.CLK0 Tdmcko_CLK -2.640 g_TTCclkOut[3].i_DCM_TTCclkOut g_TTCclkOut[3].i_DCM_TTCclkOut BUFGMUX_X3Y13.I0 net (fanout=1) 0.325 TTCclkOut_dcm<3> BUFGMUX_X3Y13.O Tgi0o 0.059 g_TTCclkOut[3].i_TTCclk_buf g_TTCclkOut[3].i_TTCclk_buf OLOGIC_X8Y1.CLK1 net (fanout=7) 0.892 TTCclkOut<3> ------------------------------------------------- --------------------------- Total -0.016ns (-1.841ns logic, 1.825ns route) Minimum Data Path at Fast Process Corner: g_TxFB[9].i_TxFB to TxFB_p<10> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X8Y1.OQ Tockq 0.425 TxFB<10> g_TxFB[9].i_TxFB Y7.O net (fanout=1) 0.268 TxFB<10> Y7.PAD Tioop 0.605 TxFB_p<10> g_FB[10].i_TxFB/OBUFTDS TxFB_p<10> ------------------------------------------------- --------------------------- Total 1.298ns (1.030ns logic, 0.268ns route) (79.4% logic, 20.6% route) -------------------------------------------------------------------------------- Delay (fastest paths): 4.237ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[9].i_TxFB_T (FF) Destination: TxFB_p<10> (PAD) Source Clock: TTCclkOut<3> falling at 3.404ns Data Path Delay: 1.124ns (Levels of Logic = 1) Clock Path Delay: -0.016ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<3> to g_TxFB[9].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- P3.I Tiopi 0.618 TTC_CLK_p<3> TTC_CLK_p<3> g_TTCclkOut[3].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.7 BUFIO2_X0Y22.I net (fanout=1) 0.220 TTCclk_in<3> BUFIO2_X0Y22.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_3 SP6_BUFIO_INSERT_ML_BUFIO2_3 DCM_X0Y6.CLKIN net (fanout=1) 0.388 g_TTCclkOut[3].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y6.CLK0 Tdmcko_CLK -2.640 g_TTCclkOut[3].i_DCM_TTCclkOut g_TTCclkOut[3].i_DCM_TTCclkOut BUFGMUX_X3Y13.I0 net (fanout=1) 0.325 TTCclkOut_dcm<3> BUFGMUX_X3Y13.O Tgi0o 0.059 g_TTCclkOut[3].i_TTCclk_buf g_TTCclkOut[3].i_TTCclk_buf OLOGIC_X8Y1.CLK1 net (fanout=7) 0.892 TTCclkOut<3> ------------------------------------------------- --------------------------- Total -0.016ns (-1.841ns logic, 1.825ns route) Minimum Data Path at Fast Process Corner: g_TxFB[9].i_TxFB_T to TxFB_p<10> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X8Y1.TQ Tockq 0.251 TxFB<10> g_TxFB[9].i_TxFB_T Y7.T net (fanout=1) 0.268 TxFB_T<10> Y7.PAD Tiotp 0.605 TxFB_p<10> g_FB[10].i_TxFB/OBUFTDS TxFB_p<10> ------------------------------------------------- --------------------------- Total 1.124ns (0.856ns logic, 0.268ns route) (76.2% logic, 23.8% route) -------------------------------------------------------------------------------- Paths for end point TxFB_p<11> (AA6.PAD), 2 paths -------------------------------------------------------------------------------- Delay (fastest paths): 4.411ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[10].i_TxFB (FF) Destination: TxFB_p<11> (PAD) Source Clock: TTCclkOut<3> falling at 3.404ns Data Path Delay: 1.298ns (Levels of Logic = 1) Clock Path Delay: -0.016ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<3> to g_TxFB[10].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- P3.I Tiopi 0.618 TTC_CLK_p<3> TTC_CLK_p<3> g_TTCclkOut[3].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.7 BUFIO2_X0Y22.I net (fanout=1) 0.220 TTCclk_in<3> BUFIO2_X0Y22.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_3 SP6_BUFIO_INSERT_ML_BUFIO2_3 DCM_X0Y6.CLKIN net (fanout=1) 0.388 g_TTCclkOut[3].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y6.CLK0 Tdmcko_CLK -2.640 g_TTCclkOut[3].i_DCM_TTCclkOut g_TTCclkOut[3].i_DCM_TTCclkOut BUFGMUX_X3Y13.I0 net (fanout=1) 0.325 TTCclkOut_dcm<3> BUFGMUX_X3Y13.O Tgi0o 0.059 g_TTCclkOut[3].i_TTCclk_buf g_TTCclkOut[3].i_TTCclk_buf OLOGIC_X7Y1.CLK1 net (fanout=7) 0.892 TTCclkOut<3> ------------------------------------------------- --------------------------- Total -0.016ns (-1.841ns logic, 1.825ns route) Minimum Data Path at Fast Process Corner: g_TxFB[10].i_TxFB to TxFB_p<11> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X7Y1.OQ Tockq 0.425 TxFB<11> g_TxFB[10].i_TxFB AA6.O net (fanout=1) 0.268 TxFB<11> AA6.PAD Tioop 0.605 TxFB_p<11> g_FB[11].i_TxFB/OBUFTDS TxFB_p<11> ------------------------------------------------- --------------------------- Total 1.298ns (1.030ns logic, 0.268ns route) (79.4% logic, 20.6% route) -------------------------------------------------------------------------------- Delay (fastest paths): 4.237ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[10].i_TxFB_T (FF) Destination: TxFB_p<11> (PAD) Source Clock: TTCclkOut<3> falling at 3.404ns Data Path Delay: 1.124ns (Levels of Logic = 1) Clock Path Delay: -0.016ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<3> to g_TxFB[10].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- P3.I Tiopi 0.618 TTC_CLK_p<3> TTC_CLK_p<3> g_TTCclkOut[3].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.7 BUFIO2_X0Y22.I net (fanout=1) 0.220 TTCclk_in<3> BUFIO2_X0Y22.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_3 SP6_BUFIO_INSERT_ML_BUFIO2_3 DCM_X0Y6.CLKIN net (fanout=1) 0.388 g_TTCclkOut[3].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y6.CLK0 Tdmcko_CLK -2.640 g_TTCclkOut[3].i_DCM_TTCclkOut g_TTCclkOut[3].i_DCM_TTCclkOut BUFGMUX_X3Y13.I0 net (fanout=1) 0.325 TTCclkOut_dcm<3> BUFGMUX_X3Y13.O Tgi0o 0.059 g_TTCclkOut[3].i_TTCclk_buf g_TTCclkOut[3].i_TTCclk_buf OLOGIC_X7Y1.CLK1 net (fanout=7) 0.892 TTCclkOut<3> ------------------------------------------------- --------------------------- Total -0.016ns (-1.841ns logic, 1.825ns route) Minimum Data Path at Fast Process Corner: g_TxFB[10].i_TxFB_T to TxFB_p<11> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X7Y1.TQ Tockq 0.251 TxFB<11> g_TxFB[10].i_TxFB_T AA6.T net (fanout=1) 0.268 TxFB_T<11> AA6.PAD Tiotp 0.605 TxFB_p<11> g_FB[11].i_TxFB/OBUFTDS TxFB_p<11> ------------------------------------------------- --------------------------- Total 1.124ns (0.856ns logic, 0.268ns route) (76.2% logic, 23.8% route) -------------------------------------------------------------------------------- Paths for end point TxFB_n<10> (AB7.PAD), 2 paths -------------------------------------------------------------------------------- Delay (fastest paths): 4.427ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[9].i_TxFB (FF) Destination: TxFB_n<10> (PAD) Source Clock: TTCclkOut<3> falling at 3.404ns Data Path Delay: 1.314ns (Levels of Logic = 2) Clock Path Delay: -0.016ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<3> to g_TxFB[9].i_TxFB Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- P3.I Tiopi 0.618 TTC_CLK_p<3> TTC_CLK_p<3> g_TTCclkOut[3].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.7 BUFIO2_X0Y22.I net (fanout=1) 0.220 TTCclk_in<3> BUFIO2_X0Y22.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_3 SP6_BUFIO_INSERT_ML_BUFIO2_3 DCM_X0Y6.CLKIN net (fanout=1) 0.388 g_TTCclkOut[3].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y6.CLK0 Tdmcko_CLK -2.640 g_TTCclkOut[3].i_DCM_TTCclkOut g_TTCclkOut[3].i_DCM_TTCclkOut BUFGMUX_X3Y13.I0 net (fanout=1) 0.325 TTCclkOut_dcm<3> BUFGMUX_X3Y13.O Tgi0o 0.059 g_TTCclkOut[3].i_TTCclk_buf g_TTCclkOut[3].i_TTCclk_buf OLOGIC_X8Y1.CLK1 net (fanout=7) 0.892 TTCclkOut<3> ------------------------------------------------- --------------------------- Total -0.016ns (-1.841ns logic, 1.825ns route) Minimum Data Path at Fast Process Corner: g_TxFB[9].i_TxFB to TxFB_n<10> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X8Y1.OQ Tockq 0.425 TxFB<10> g_TxFB[9].i_TxFB Y7.O net (fanout=1) 0.268 TxFB<10> Y7.DIFFO_OUT Tiood 0.613 TxFB_p<10> g_FB[10].i_TxFB/OBUFTDS AB7.DIFFO_IN net (fanout=1) 0.000 g_FB[10].i_TxFB/SLAVEBUF.DIFFOUT AB7.PAD Tiodop 0.008 TxFB_n<10> ProtoComp374.DIFFO_INUSED.1 TxFB_n<10> ------------------------------------------------- --------------------------- Total 1.314ns (1.046ns logic, 0.268ns route) (79.6% logic, 20.4% route) -------------------------------------------------------------------------------- Delay (fastest paths): 4.253ns (clock arrival + clock path + data path - uncertainty) Source: g_TxFB[9].i_TxFB_T (FF) Destination: TxFB_n<10> (PAD) Source Clock: TTCclkOut<3> falling at 3.404ns Data Path Delay: 1.140ns (Levels of Logic = 2) Clock Path Delay: -0.016ns (Levels of Logic = 4) Clock Uncertainty: 0.275ns Clock Uncertainty: 0.275ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE Total System Jitter (TSJ): 0.050ns Total Input Jitter (TIJ): 0.000ns Discrete Jitter (DJ): 0.200ns Phase Error (PE): 0.150ns Minimum Clock Path at Fast Process Corner: TTC_CLK_p<3> to g_TxFB[9].i_TxFB_T Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- P3.I Tiopi 0.618 TTC_CLK_p<3> TTC_CLK_p<3> g_TTCclkOut[3].i_TTCclk_in/IBUFDS ProtoComp373.IMUX.7 BUFIO2_X0Y22.I net (fanout=1) 0.220 TTCclk_in<3> BUFIO2_X0Y22.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO_INSERT_ML_BUFIO2_3 SP6_BUFIO_INSERT_ML_BUFIO2_3 DCM_X0Y6.CLKIN net (fanout=1) 0.388 g_TTCclkOut[3].i_DCM_TTCclkOut_ML_NEW_DIVCLK DCM_X0Y6.CLK0 Tdmcko_CLK -2.640 g_TTCclkOut[3].i_DCM_TTCclkOut g_TTCclkOut[3].i_DCM_TTCclkOut BUFGMUX_X3Y13.I0 net (fanout=1) 0.325 TTCclkOut_dcm<3> BUFGMUX_X3Y13.O Tgi0o 0.059 g_TTCclkOut[3].i_TTCclk_buf g_TTCclkOut[3].i_TTCclk_buf OLOGIC_X8Y1.CLK1 net (fanout=7) 0.892 TTCclkOut<3> ------------------------------------------------- --------------------------- Total -0.016ns (-1.841ns logic, 1.825ns route) Minimum Data Path at Fast Process Corner: g_TxFB[9].i_TxFB_T to TxFB_n<10> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- OLOGIC_X8Y1.TQ Tockq 0.251 TxFB<10> g_TxFB[9].i_TxFB_T Y7.T net (fanout=1) 0.268 TxFB_T<10> Y7.DIFFO_OUT Tiotd 0.613 TxFB_p<10> g_FB[10].i_TxFB/OBUFTDS AB7.DIFFO_IN net (fanout=1) 0.000 g_FB[10].i_TxFB/SLAVEBUF.DIFFOUT AB7.PAD Tiodop 0.008 TxFB_n<10> ProtoComp374.DIFFO_INUSED.1 TxFB_n<10> ------------------------------------------------- --------------------------- Total 1.140ns (0.872ns logic, 0.268ns route) (76.5% logic, 23.5% route) -------------------------------------------------------------------------------- Derived Constraint Report Derived Constraints for TS_TTC_REFCLK +-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ | | Period | Actual Period | Timing Errors | Paths Analyzed | | Constraint | Requirement |-------------+-------------|-------------+-------------|-------------+-------------| | | | Direct | Derivative | Direct | Derivative | Direct | Derivative | +-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ |TS_TTC_REFCLK | 24.900ns| 10.000ns| 24.684ns| 0| 0| 0| 6582| | TS_TTCclk4x_dcm | 6.225ns| 6.149ns| N/A| 0| 0| 169| 0| | TS_TTCclk_dcm | 24.900ns| 24.684ns| 19.539ns| 0| 0| 6120| 2| | TS_TO_TTC_data_1_LD | 24.900ns| 19.539ns| N/A| 0| 0| 2| 0| | TS_TTCclk8x_dcm | 3.113ns| 2.779ns| N/A| 0| 0| 291| 0| +-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ Derived Constraints for TS_TTCclk_p +-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ | | Period | Actual Period | Timing Errors | Paths Analyzed | | Constraint | Requirement |-------------+-------------|-------------+-------------|-------------+-------------| | | | Direct | Derivative | Direct | Derivative | Direct | Derivative | +-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ |TS_TTCclk_p | 24.900ns| 16.000ns| 2.666ns| 0| 0| 0| 0| | TS_TTCclkOut_dcm_0_ | 24.900ns| 2.666ns| N/A| 0| 0| 0| 0| | TS_TTCclkOut_dcm_1_ | 24.900ns| 2.666ns| N/A| 0| 0| 0| 0| | TS_TTCclkOut_dcm_2_ | 24.900ns| 2.666ns| N/A| 0| 0| 0| 0| | TS_TTCclkOut_dcm_3_ | 24.900ns| 2.666ns| N/A| 0| 0| 0| 0| +-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ Derived Constraints for TS_ipb_clk +-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ | | Period | Actual Period | Timing Errors | Paths Analyzed | | Constraint | Requirement |-------------+-------------|-------------+-------------|-------------+-------------| | | | Direct | Derivative | Direct | Derivative | Direct | Derivative | +-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ |TS_ipb_clk | 32.000ns| 17.854ns| 3.826ns| 0| 0| 25885| 1| | TS_TO_CRC_0_LD | 32.000ns| 3.826ns| N/A| 0| 0| 1| 0| +-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ All constraints were met. Data Sheet report: ----------------- All values displayed in nanoseconds (ns) Setup/Hold to clock TTC_REFCLK ------------+------------+------------+------------+------------+------------------+--------+ |Max Setup to| Process |Max Hold to | Process | | Clock | Source | clk (edge) | Corner | clk (edge) | Corner |Internal Clock(s) | Phase | ------------+------------+------------+------------+------------+------------------+--------+ TTCdata_p | 7.333(R)| SLOW | -4.915(R)| FAST |TTCclk | -3.890| | 7.393(F)| SLOW | -4.990(F)| FAST |TTCclk | -3.890| ------------+------------+------------+------------+------------+------------------+--------+ Clock TTC_CLK_p<0> to Pad ------------+-----------------+------------+-----------------+------------+------------------+--------+ |Max (slowest) clk| Process |Min (fastest) clk| Process | | Clock | Destination | (edge) to PAD | Corner | (edge) to PAD | Corner |Internal Clock(s) | Phase | ------------+-----------------+------------+-----------------+------------+------------------+--------+ TxFB_n<1> | 7.076(R)| SLOW | 4.472(R)| FAST |TTCclkOut<0> | 3.404| | 7.015(F)| SLOW | 4.407(F)| FAST |TTCclkOut<0> | 3.404| TxFB_n<2> | 7.026(R)| SLOW | 4.422(R)| FAST |TTCclkOut<0> | 3.404| | 6.965(F)| SLOW | 4.357(F)| FAST |TTCclkOut<0> | 3.404| TxFB_n<3> | 7.078(R)| SLOW | 4.474(R)| FAST |TTCclkOut<0> | 3.404| | 7.016(F)| SLOW | 4.408(F)| FAST |TTCclkOut<0> | 3.404| TxFB_p<1> | 7.022(R)| SLOW | 4.456(R)| FAST |TTCclkOut<0> | 3.404| | 6.961(F)| SLOW | 4.391(F)| FAST |TTCclkOut<0> | 3.404| TxFB_p<2> | 6.972(R)| SLOW | 4.406(R)| FAST |TTCclkOut<0> | 3.404| | 6.911(F)| SLOW | 4.341(F)| FAST |TTCclkOut<0> | 3.404| TxFB_p<3> | 7.024(R)| SLOW | 4.458(R)| FAST |TTCclkOut<0> | 3.404| | 6.962(F)| SLOW | 4.392(F)| FAST |TTCclkOut<0> | 3.404| ------------+-----------------+------------+-----------------+------------+------------------+--------+ Clock TTC_CLK_p<1> to Pad ------------+-----------------+------------+-----------------+------------+------------------+--------+ |Max (slowest) clk| Process |Min (fastest) clk| Process | | Clock | Destination | (edge) to PAD | Corner | (edge) to PAD | Corner |Internal Clock(s) | Phase | ------------+-----------------+------------+-----------------+------------+------------------+--------+ TxFB_n<4> | 7.011(R)| SLOW | 4.407(R)| FAST |TTCclkOut<1> | 3.404| | 6.949(F)| SLOW | 4.341(F)| FAST |TTCclkOut<1> | 3.404| TxFB_n<5> | 7.011(R)| SLOW | 4.407(R)| FAST |TTCclkOut<1> | 3.404| | 6.949(F)| SLOW | 4.341(F)| FAST |TTCclkOut<1> | 3.404| TxFB_n<6> | 6.982(R)| SLOW | 4.378(R)| FAST |TTCclkOut<1> | 3.404| | 6.921(F)| SLOW | 4.313(F)| FAST |TTCclkOut<1> | 3.404| TxFB_p<4> | 6.957(R)| SLOW | 4.391(R)| FAST |TTCclkOut<1> | 3.404| | 6.895(F)| SLOW | 4.325(F)| FAST |TTCclkOut<1> | 3.404| TxFB_p<5> | 6.957(R)| SLOW | 4.391(R)| FAST |TTCclkOut<1> | 3.404| | 6.895(F)| SLOW | 4.325(F)| FAST |TTCclkOut<1> | 3.404| TxFB_p<6> | 6.928(R)| SLOW | 4.362(R)| FAST |TTCclkOut<1> | 3.404| | 6.867(F)| SLOW | 4.297(F)| FAST |TTCclkOut<1> | 3.404| ------------+-----------------+------------+-----------------+------------+------------------+--------+ Clock TTC_CLK_p<2> to Pad ------------+-----------------+------------+-----------------+------------+------------------+--------+ |Max (slowest) clk| Process |Min (fastest) clk| Process | | Clock | Destination | (edge) to PAD | Corner | (edge) to PAD | Corner |Internal Clock(s) | Phase | ------------+-----------------+------------+-----------------+------------+------------------+--------+ TxFB_n<7> | 6.963(R)| SLOW | 4.359(R)| FAST |TTCclkOut<2> | 3.404| | 6.901(F)| SLOW | 4.293(F)| FAST |TTCclkOut<2> | 3.404| TxFB_n<8> | 6.963(R)| SLOW | 4.359(R)| FAST |TTCclkOut<2> | 3.404| | 6.901(F)| SLOW | 4.293(F)| FAST |TTCclkOut<2> | 3.404| TxFB_n<9> | 6.962(R)| SLOW | 4.358(R)| FAST |TTCclkOut<2> | 3.404| | 6.901(F)| SLOW | 4.293(F)| FAST |TTCclkOut<2> | 3.404| TxFB_p<7> | 6.909(R)| SLOW | 4.343(R)| FAST |TTCclkOut<2> | 3.404| | 6.847(F)| SLOW | 4.277(F)| FAST |TTCclkOut<2> | 3.404| TxFB_p<8> | 6.909(R)| SLOW | 4.343(R)| FAST |TTCclkOut<2> | 3.404| | 6.847(F)| SLOW | 4.277(F)| FAST |TTCclkOut<2> | 3.404| TxFB_p<9> | 6.908(R)| SLOW | 4.342(R)| FAST |TTCclkOut<2> | 3.404| | 6.847(F)| SLOW | 4.277(F)| FAST |TTCclkOut<2> | 3.404| ------------+-----------------+------------+-----------------+------------+------------------+--------+ Clock TTC_CLK_p<3> to Pad ------------+-----------------+------------+-----------------+------------+------------------+--------+ |Max (slowest) clk| Process |Min (fastest) clk| Process | | Clock | Destination | (edge) to PAD | Corner | (edge) to PAD | Corner |Internal Clock(s) | Phase | ------------+-----------------+------------+-----------------+------------+------------------+--------+ TxFB_n<10> | 6.851(R)| SLOW | 4.247(R)| FAST |TTCclkOut<3> | 3.404| | 6.851(F)| SLOW | 4.253(F)| FAST |TTCclkOut<3> | 3.404| TxFB_n<11> | 6.851(R)| SLOW | 4.247(R)| FAST |TTCclkOut<3> | 3.404| | 6.851(F)| SLOW | 4.253(F)| FAST |TTCclkOut<3> | 3.404| TxFB_n<12> | 6.902(R)| SLOW | 4.298(R)| FAST |TTCclkOut<3> | 3.404| | 6.902(F)| SLOW | 4.304(F)| FAST |TTCclkOut<3> | 3.404| TxFB_p<10> | 6.797(R)| SLOW | 4.231(R)| FAST |TTCclkOut<3> | 3.404| | 6.797(F)| SLOW | 4.237(F)| FAST |TTCclkOut<3> | 3.404| TxFB_p<11> | 6.797(R)| SLOW | 4.231(R)| FAST |TTCclkOut<3> | 3.404| | 6.797(F)| SLOW | 4.237(F)| FAST |TTCclkOut<3> | 3.404| TxFB_p<12> | 6.848(R)| SLOW | 4.282(R)| FAST |TTCclkOut<3> | 3.404| | 6.848(F)| SLOW | 4.288(F)| FAST |TTCclkOut<3> | 3.404| ------------+-----------------+------------+-----------------+------------+------------------+--------+ Clock to Setup on destination clock FSIO_SCK ---------------+---------+---------+---------+---------+ | Src:Rise| Src:Fall| Src:Rise| Src:Fall| Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| ---------------+---------+---------+---------+---------+ FSIO_SCK | 5.878| | 7.789| 2.708| ---------------+---------+---------+---------+---------+ Clock to Setup on destination clock TTC_REFCLK ---------------+---------+---------+---------+---------+ | Src:Rise| Src:Fall| Src:Rise| Src:Fall| Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| ---------------+---------+---------+---------+---------+ TTC_REFCLK | 15.102| 9.478| 9.454| | ---------------+---------+---------+---------+---------+ COMP "TTCdata_p" OFFSET = IN 10 ns VALID 7 ns BEFORE COMP "TTC_REFCLK" "RISING"; Worst Case Data Window 2.418; Ideal Clock Offset To Actual Clock -0.376; ------------------+------------+------------+------------+------------+---------+---------+-------------+ | | Process | | Process | Setup | Hold |Source Offset| Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | ------------------+------------+------------+------------+------------+---------+---------+-------------+ TTCdata_p | 7.333(R)| SLOW | -4.915(R)| FAST | 2.667| 1.915| 0.376| ------------------+------------+------------+------------+------------+---------+---------+-------------+ Worst Case Summary| 7.333| - | -4.915| - | 2.667| 1.915| | ------------------+------------+------------+------------+------------+---------+---------+-------------+ COMP "TTCdata_p" OFFSET = IN 10 ns VALID 7 ns BEFORE COMP "TTC_REFCLK" "FALLING"; Worst Case Data Window 2.403; Ideal Clock Offset To Actual Clock -0.309; ------------------+------------+------------+------------+------------+---------+---------+-------------+ | | Process | | Process | Setup | Hold |Source Offset| Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | ------------------+------------+------------+------------+------------+---------+---------+-------------+ TTCdata_p | -5.057(F)| SLOW | 7.460(F)| FAST | 2.607| 1.990| 0.309| ------------------+------------+------------+------------+------------+---------+---------+-------------+ Worst Case Summary| -5.057| - | 7.460| - | 2.607| 1.990| | ------------------+------------+------------+------------+------------+---------+---------+-------------+ OFFSET = OUT 7.2 ns AFTER COMP "TTC_CLK_p<0>" "RISING"; Bus Skew: 0.106 ns; -----------------------------------------------+-------------+------------+-------------+------------+--------------+ |Max (slowest)| Process |Min (fastest)| Process | | PAD | Delay (ns) | Corner | Delay (ns) | Corner |Edge Skew (ns)| -----------------------------------------------+-------------+------------+-------------+------------+--------------+ TxFB_n<1> | 7.076| SLOW | 4.472| FAST | 0.104| TxFB_n<2> | 7.026| SLOW | 4.422| FAST | 0.054| TxFB_n<3> | 7.078| SLOW | 4.474| FAST | 0.106| TxFB_p<1> | 7.022| SLOW | 4.456| FAST | 0.050| TxFB_p<2> | 6.972| SLOW | 4.406| FAST | 0.000| TxFB_p<3> | 7.024| SLOW | 4.458| FAST | 0.052| -----------------------------------------------+-------------+------------+-------------+------------+--------------+ OFFSET = OUT 7.2 ns AFTER COMP "TTC_CLK_p<0>" "FALLING"; Bus Skew: 0.105 ns; -----------------------------------------------+-------------+------------+-------------+------------+--------------+ |Max (slowest)| Process |Min (fastest)| Process | | PAD | Delay (ns) | Corner | Delay (ns) | Corner |Edge Skew (ns)| -----------------------------------------------+-------------+------------+-------------+------------+--------------+ TxFB_n<1> | 7.015| SLOW | 4.407| FAST | 0.104| TxFB_n<2> | 6.965| SLOW | 4.357| FAST | 0.054| TxFB_n<3> | 7.016| SLOW | 4.408| FAST | 0.105| TxFB_p<1> | 6.961| SLOW | 4.391| FAST | 0.050| TxFB_p<2> | 6.911| SLOW | 4.341| FAST | 0.000| TxFB_p<3> | 6.962| SLOW | 4.392| FAST | 0.051| -----------------------------------------------+-------------+------------+-------------+------------+--------------+ OFFSET = OUT 7.2 ns AFTER COMP "TTC_CLK_p<1>" "RISING"; Bus Skew: 0.083 ns; -----------------------------------------------+-------------+------------+-------------+------------+--------------+ |Max (slowest)| Process |Min (fastest)| Process | | PAD | Delay (ns) | Corner | Delay (ns) | Corner |Edge Skew (ns)| -----------------------------------------------+-------------+------------+-------------+------------+--------------+ TxFB_n<4> | 7.011| SLOW | 4.407| FAST | 0.083| TxFB_n<5> | 7.011| SLOW | 4.407| FAST | 0.083| TxFB_n<6> | 6.982| SLOW | 4.378| FAST | 0.054| TxFB_p<4> | 6.957| SLOW | 4.391| FAST | 0.029| TxFB_p<5> | 6.957| SLOW | 4.391| FAST | 0.029| TxFB_p<6> | 6.928| SLOW | 4.362| FAST | 0.000| -----------------------------------------------+-------------+------------+-------------+------------+--------------+ OFFSET = OUT 7.2 ns AFTER COMP "TTC_CLK_p<1>" "FALLING"; Bus Skew: 0.082 ns; -----------------------------------------------+-------------+------------+-------------+------------+--------------+ |Max (slowest)| Process |Min (fastest)| Process | | PAD | Delay (ns) | Corner | Delay (ns) | Corner |Edge Skew (ns)| -----------------------------------------------+-------------+------------+-------------+------------+--------------+ TxFB_n<4> | 6.949| SLOW | 4.341| FAST | 0.082| TxFB_n<5> | 6.949| SLOW | 4.341| FAST | 0.082| TxFB_n<6> | 6.921| SLOW | 4.313| FAST | 0.054| TxFB_p<4> | 6.895| SLOW | 4.325| FAST | 0.028| TxFB_p<5> | 6.895| SLOW | 4.325| FAST | 0.028| TxFB_p<6> | 6.867| SLOW | 4.297| FAST | 0.000| -----------------------------------------------+-------------+------------+-------------+------------+--------------+ OFFSET = OUT 7.2 ns AFTER COMP "TTC_CLK_p<2>" "RISING"; Bus Skew: 0.055 ns; -----------------------------------------------+-------------+------------+-------------+------------+--------------+ |Max (slowest)| Process |Min (fastest)| Process | | PAD | Delay (ns) | Corner | Delay (ns) | Corner |Edge Skew (ns)| -----------------------------------------------+-------------+------------+-------------+------------+--------------+ TxFB_n<7> | 6.963| SLOW | 4.359| FAST | 0.055| TxFB_n<8> | 6.963| SLOW | 4.359| FAST | 0.055| TxFB_n<9> | 6.962| SLOW | 4.358| FAST | 0.054| TxFB_p<7> | 6.909| SLOW | 4.343| FAST | 0.001| TxFB_p<8> | 6.909| SLOW | 4.343| FAST | 0.001| TxFB_p<9> | 6.908| SLOW | 4.342| FAST | 0.000| -----------------------------------------------+-------------+------------+-------------+------------+--------------+ OFFSET = OUT 7.2 ns AFTER COMP "TTC_CLK_p<2>" "FALLING"; Bus Skew: 0.054 ns; -----------------------------------------------+-------------+------------+-------------+------------+--------------+ |Max (slowest)| Process |Min (fastest)| Process | | PAD | Delay (ns) | Corner | Delay (ns) | Corner |Edge Skew (ns)| -----------------------------------------------+-------------+------------+-------------+------------+--------------+ TxFB_n<7> | 6.901| SLOW | 4.293| FAST | 0.054| TxFB_n<8> | 6.901| SLOW | 4.293| FAST | 0.054| TxFB_n<9> | 6.901| SLOW | 4.293| FAST | 0.054| TxFB_p<7> | 6.847| SLOW | 4.277| FAST | 0.000| TxFB_p<8> | 6.847| SLOW | 4.277| FAST | 0.000| TxFB_p<9> | 6.847| SLOW | 4.277| FAST | 0.000| -----------------------------------------------+-------------+------------+-------------+------------+--------------+ OFFSET = OUT 7.2 ns AFTER COMP "TTC_CLK_p<3>" "RISING"; Bus Skew: 0.105 ns; -----------------------------------------------+-------------+------------+-------------+------------+--------------+ |Max (slowest)| Process |Min (fastest)| Process | | PAD | Delay (ns) | Corner | Delay (ns) | Corner |Edge Skew (ns)| -----------------------------------------------+-------------+------------+-------------+------------+--------------+ TxFB_n<10> | 6.851| SLOW | 4.247| FAST | 0.054| TxFB_n<11> | 6.851| SLOW | 4.247| FAST | 0.054| TxFB_n<12> | 6.902| SLOW | 4.298| FAST | 0.105| TxFB_p<10> | 6.797| SLOW | 4.231| FAST | 0.000| TxFB_p<11> | 6.797| SLOW | 4.231| FAST | 0.000| TxFB_p<12> | 6.848| SLOW | 4.282| FAST | 0.051| -----------------------------------------------+-------------+------------+-------------+------------+--------------+ OFFSET = OUT 7.2 ns AFTER COMP "TTC_CLK_p<3>" "FALLING"; Bus Skew: 0.105 ns; -----------------------------------------------+-------------+------------+-------------+------------+--------------+ |Max (slowest)| Process |Min (fastest)| Process | | PAD | Delay (ns) | Corner | Delay (ns) | Corner |Edge Skew (ns)| -----------------------------------------------+-------------+------------+-------------+------------+--------------+ TxFB_n<10> | 6.851| SLOW | 4.253| FAST | 0.054| TxFB_n<11> | 6.851| SLOW | 4.253| FAST | 0.054| TxFB_n<12> | 6.902| SLOW | 4.304| FAST | 0.105| TxFB_p<10> | 6.797| SLOW | 4.237| FAST | 0.000| TxFB_p<11> | 6.797| SLOW | 4.237| FAST | 0.000| TxFB_p<12> | 6.848| SLOW | 4.288| FAST | 0.051| -----------------------------------------------+-------------+------------+-------------+------------+--------------+ Timing summary: --------------- Timing errors: 0 Score: 0 (Setup/Max: 0, Hold: 0) Constraints cover 98854 paths, 14 nets, and 27123 connections Design statistics: Minimum period: 24.684ns{1} (Maximum frequency: 40.512MHz) Maximum path delay from/to any node: 19.539ns Maximum net delay: 2.640ns Minimum input required time before clock: 7.393ns Minimum output required time after clock: 7.078ns ------------------------------------Footnotes----------------------------------- 1) The minimum period statistic assumes all single cycle delays. Analysis completed Fri Dec 4 10:53:36 2020 -------------------------------------------------------------------------------- Trace Settings: ------------------------- Trace Settings Peak Memory Usage: 576 MB