Release 14.7 - xst P.20131013 (lin64) Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. --> Parameter TMPDIR set to xst/projnav.tmp Total REAL time to Xst completion: 0.00 secs Total CPU time to Xst completion: 0.14 secs --> Parameter xsthdpdir set to xst Total REAL time to Xst completion: 0.00 secs Total CPU time to Xst completion: 0.14 secs --> Reading design: AMC13_T2.prj TABLE OF CONTENTS 1) Synthesis Options Summary 2) HDL Parsing 3) HDL Elaboration 4) HDL Synthesis 4.1) HDL Synthesis Report 5) Advanced HDL Synthesis 5.1) Advanced HDL Synthesis Report 6) Low Level Synthesis 7) Partition Report 8) Design Summary 8.1) Primitive and Black Box Usage 8.2) Device utilization summary 8.3) Partition Resource Summary 8.4) Timing Report 8.4.1) Clock Information 8.4.2) Asynchronous Control Signals Information 8.4.3) Timing Summary 8.4.4) Timing Details 8.4.5) Cross Clock Domains Report ========================================================================= * Synthesis Options Summary * ========================================================================= ---- Source Parameters Input File Name : "AMC13_T2.prj" Ignore Synthesis Constraint File : NO ---- Target Parameters Output File Name : "AMC13_T2" Output Format : NGC Target Device : xc6slx45t-2-fgg484 ---- Source Options Top Module Name : AMC13_T2 Automatic FSM Extraction : YES FSM Encoding Algorithm : Auto Safe Implementation : No FSM Style : LUT RAM Extraction : Yes RAM Style : Auto ROM Extraction : Yes Shift Register Extraction : NO ROM Style : Auto Resource Sharing : YES Asynchronous To Synchronous : NO Shift Register Minimum Size : 2 Use DSP Block : Auto Automatic Register Balancing : No ---- Target Options LUT Combining : Auto Reduce Control Sets : Auto Add IO Buffers : YES Global Maximum Fanout : 100000 Add Generic Clock Buffer(BUFG) : 16 Register Duplication : YES Optimize Instantiated Primitives : NO Use Clock Enable : Auto Use Synchronous Set : Auto Use Synchronous Reset : Auto Pack IO Registers into IOBs : Auto Equivalent register Removal : NO ---- General Options Optimization Goal : Speed Optimization Effort : 1 Power Reduction : NO Keep Hierarchy : Yes Netlist Hierarchy : As_Optimized RTL Output : Yes Global Optimization : AllClockNets Read Cores : YES Write Timing Constraints : NO Cross Clock Analysis : NO Hierarchy Separator : / Bus Delimiter : <> Case Specifier : Maintain Slice Utilization Ratio : 100 BRAM Utilization Ratio : 100 DSP48 Utilization Ratio : 100 Auto BRAM Packing : NO Slice Utilization Ratio Delta : 5 ---- Other Options Cores Search Directories : {"../T2new" } ========================================================================= ========================================================================= * HDL Parsing * ========================================================================= Parsing VHDL file "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/ipbus_trans_decl.vhd" into library work Parsing package . Parsing VHDL file "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/ipbus_package.vhd" into library work Parsing package . Parsing VHDL file "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/s6link_gbe_tile.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/EthernetCRC.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_tx_mux.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_txtransactor_if_simple.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_status_buffer.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_rxtransactor_if_simple.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_rxram_shim.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_rxram_mux.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_rarp_block.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_packet_parser.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_ipaddr_block.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_dualportram_tx.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_dualportram_rx.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_dualportram.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_do_rx_reset.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_clock_crossing_if.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_byte_sum.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_build_status.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_build_resend.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_build_ping.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_build_payload.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_build_arp.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_buffer_selector.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/transactor_sm.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/transactor_if.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/transactor_cfg.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/clock_div.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2newGolden/amc13_pack.vhd" into library work Parsing package . Parsing package body . Parsing VHDL file "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/soft_emac_AXI4.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/s6link_gbe.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_if_flat.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/trans_arb.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/transactor.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/stretcher.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/trig_data.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/T2_flash.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/reboot.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/GTP_if_AXI4.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/ise/D_DRIVE/amc13-firmware/src/common/SPI/SPI_if.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/ipbus_ctrl.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" into library work Parsing entity . Parsing architecture of entity . WARNING:HDLCompiler:946 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 622: Actual for formal port d0 is neither a static name nor a globally static expression WARNING:HDLCompiler:946 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 623: Actual for formal port d1 is neither a static name nor a globally static expression WARNING:HDLCompiler:946 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 689: Actual for formal port c1 is neither a static name nor a globally static expression WARNING:HDLCompiler:946 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1295: Actual for formal port mac_addr is neither a static name nor a globally static expression ========================================================================= * HDL Elaboration * ========================================================================= Elaborating entity (architecture ) from library . WARNING:HDLCompiler:871 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 357: Using initial value "00000000000000000000000000000000" for debug_in since it is never assigned WARNING:HDLCompiler:871 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 423: Using initial value "00000000" for ttc_status since it is never assigned Elaborating entity (architecture ) from library . WARNING:HDLCompiler:634 - "/home/ise/D_DRIVE/amc13-firmware/src/common/SPI/SPI_if.vhd" Line 64: Net does not have a driver. WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 713: brcst should be on the sensitivity list of the process Elaborating entity (architecture ) from library . WARNING:HDLCompiler:1127 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/T2_flash.vhd" Line 84: Assignment to strobe_q ignored, since the identifier is never used Elaborating entity (architecture ) with generics from library . WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 878: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 890: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 905: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 926: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 234: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 303: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 316: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 577: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 374: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 385: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 465: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 476: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 499: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 553: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 945: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 628: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 630: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 687: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 709: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 1009: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 1111: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 1238: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 740: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 741: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 762: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 772: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 791: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 801: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 820: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 830: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 1430: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 1431: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 1432: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 1433: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 1434: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 1451: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 1452: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 1453: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 1454: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 1455: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 1472: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 1491: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 1510: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 1535: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 1561: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 1571: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 1572: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 1579: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 1589: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 1590: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 1597: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 1613: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 1933: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 2263: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:634 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 1409: Net does not have a driver. WARNING:HDLCompiler:634 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 1411: Net does not have a driver. WARNING:HDLCompiler:634 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 1412: Net does not have a driver. Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) from library . WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/soft_emac_AXI4.vhd" Line 220: rx_crc_d should be on the sensitivity list of the process WARNING:HDLCompiler:89 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/GTP_if_AXI4.vhd" Line 218: remains a black-box since it has no binding entity. Elaborating entity (architecture ) with generics from library . WARNING:HDLCompiler:1127 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/s6link_gbe.vhd" Line 309: Assignment to tied_to_ground_i ignored, since the identifier is never used WARNING:HDLCompiler:1127 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/s6link_gbe.vhd" Line 311: Assignment to tied_to_vcc_i ignored, since the identifier is never used Elaborating entity (architecture ) with generics from library . WARNING:HDLCompiler:1127 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/s6link_gbe_tile.vhd" Line 225: Assignment to tied_to_vcc_vec_i ignored, since the identifier is never used WARNING:HDLCompiler:1127 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/s6link_gbe_tile.vhd" Line 564: Assignment to rxchariscomma0_float_i ignored, since the identifier is never used WARNING:HDLCompiler:1127 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/s6link_gbe_tile.vhd" Line 566: Assignment to rxchariscomma1_float_i ignored, since the identifier is never used WARNING:HDLCompiler:1127 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/s6link_gbe_tile.vhd" Line 568: Assignment to rxcharisk0_float_i ignored, since the identifier is never used WARNING:HDLCompiler:1127 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/s6link_gbe_tile.vhd" Line 570: Assignment to rxcharisk1_float_i ignored, since the identifier is never used WARNING:HDLCompiler:1127 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/s6link_gbe_tile.vhd" Line 574: Assignment to rxdisperr0_float_i ignored, since the identifier is never used WARNING:HDLCompiler:1127 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/s6link_gbe_tile.vhd" Line 576: Assignment to rxdisperr1_float_i ignored, since the identifier is never used WARNING:HDLCompiler:1127 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/s6link_gbe_tile.vhd" Line 578: Assignment to rxnotintable0_float_i ignored, since the identifier is never used WARNING:HDLCompiler:1127 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/s6link_gbe_tile.vhd" Line 580: Assignment to rxnotintable1_float_i ignored, since the identifier is never used WARNING:HDLCompiler:1127 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/s6link_gbe_tile.vhd" Line 583: Assignment to rxrundisp1_float_i ignored, since the identifier is never used WARNING:HDLCompiler:89 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 185: remains a black-box since it has no binding entity. WARNING:HDLCompiler:746 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 242: Range is empty (null range) WARNING:HDLCompiler:746 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 243: Range is empty (null range) WARNING:HDLCompiler:746 - "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/ipbus_ctrl.vhd" Line 60: Range is empty (null range) WARNING:HDLCompiler:746 - "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/ipbus_ctrl.vhd" Line 61: Range is empty (null range) Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . INFO:HDLCompiler:679 - "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_dualportram_rx.vhd" Line 45. Case statement is complete. others clause is never selected Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) from library . WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1308: ipb_master_out should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1309: ipb_master_out should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1314: ipb_master_out should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1315: ipb_master_out should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1316: ttc_history_do should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1317: ttc_history_do should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1318: ttc_history_do should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1319: ttc_history_do should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1321: ipb_master_out should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1322: buffer_do should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1323: ipb_master_out should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1324: twinmux_data should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1325: ipb_master_out should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1326: ipb_master_out should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1327: en_twinmux should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1328: delay_trig0 should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1329: delay_trig1 should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1330: delay_twinmux should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1331: delay_twinmux should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1332: delay_twinmux should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1333: delay_twinmux should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1334: delay_twinmux should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1335: delay_twinmux should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1336: delay_twinmux should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1337: delay_twinmux should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1338: delay_twinmux should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1339: delay_twinmux should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1340: delay_twinmux should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1341: delay_twinmux should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1344: ipb_master_out should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1345: ipb_master_out should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1346: sn should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1347: flash_busy should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1348: amc_en should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1349: v6_init_b should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1350: l1_reg should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1351: bcnt_reg should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1352: oc_reg should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1353: bcnterr_cnt should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1354: sinerr_cnt should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1355: dberr_cnt should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1356: l1a_cnt should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1357: bcnt should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1358: f_ttc should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1359: en_ttc_history should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1360: dna should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1361: dna should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1363: ipb_master_out should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1364: ttccmdcntr should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1365: ipb_master_out should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1366: cmd_list_sp should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1367: ipb_master_out should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 1368: ocnresetcmd should be on the sensitivity list of the process Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library . WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 286: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 299: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 313: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 321: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 351: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 374: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 712: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 750: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 762: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 777: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 798: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 816: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 458: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 648: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 685: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 398: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 429: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 226: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 250: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 490: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 503: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 513: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 533: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 547: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 549: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 569: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 583: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 585: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 604: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 617: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 627: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 629: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 963: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 1029: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 1168: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 845: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 854: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 895: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 904: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 871: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 880: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 943: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 952: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 919: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 928: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 1353: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 1354: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 1355: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 1356: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 1357: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 1358: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 1364: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 1378: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 1379: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 1380: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 1381: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 1382: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 1383: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 1389: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 1402: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 1419: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 1449: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 1458: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 1472: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 1473: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 1485: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 2072: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 3352: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 3430: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:634 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 1334: Net does not have a driver. Elaborating entity (architecture ) with generics from library . WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 328: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 335: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 358: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 365: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 3508: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:634 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd" Line 1333: Net does not have a driver. Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library . WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 247: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 408: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:321 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 658: Comparison between arrays of unequal length always returns FALSE. WARNING:HDLCompiler:634 - "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd" Line 1410: Net does not have a driver. WARNING:HDLCompiler:634 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" Line 518: Net does not have a driver. ========================================================================= * HDL Synthesis * ========================================================================= Synthesizing Unit . Related source file is "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd". Set property "IOB = TRUE" for signal . WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. INFO:Xst:3210 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" line 553: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" line 553: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" line 553: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" line 553: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" line 1107: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" line 1107: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" line 1107: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" line 1263: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" line 1277: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" line 1277: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" line 1277: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" line 1277: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" line 1277: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2_AXI4.vhd" line 1774: Output port of the instance is unconnected or connected to loadless signal. WARNING:Xst:2935 - Signal 'buffer_di<31:30>', unconnected in block 'AMC13_T2', is tied to its initial value (00). WARNING:Xst:2935 - Signal 'buffer_di<15:14>', unconnected in block 'AMC13_T2', is tied to its initial value (00). Found 1-bit register for signal >. Found 1-bit register for signal . Found 2-bit register for signal . Found 24-bit register for signal . Found 8-bit register for signal . Found 8-bit register for signal . Found 8-bit register for signal . Found 16-bit register for signal . Found 16-bit register for signal . Found 16-bit register for signal >. Found 16-bit register for signal >. Found 16-bit register for signal >. Found 16-bit register for signal >. Found 16-bit register for signal >. Found 16-bit register for signal >. Found 16-bit register for signal >. Found 16-bit register for signal >. Found 16-bit register for signal >. Found 16-bit register for signal >. Found 16-bit register for signal >. Found 16-bit register for signal >. Found 16-bit register for signal >. Found 16-bit register for signal >. Found 16-bit register for signal >. Found 16-bit register for signal >. Found 12-bit register for signal . Found 6-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal >. Found 6-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 13-bit register for signal . Found 8-bit register for signal . Found 5-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 8-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 16-bit register for signal . Found 12-bit register for signal . Found 32-bit register for signal . Found 16-bit register for signal . Found 12-bit register for signal . Found 32-bit register for signal . Found 1-bit register for signal . Found 2-bit register for signal . Found 1-bit register for signal . Found 27-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 24-bit register for signal . Found 1-bit register for signal . Found 8-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 2-bit register for signal . Found 16-bit register for signal . Found 4-bit register for signal
. Found 1-bit register for signal . Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal . Found 8-bit register for signal . Found 1-bit register for signal . Found 3-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 4-bit register for signal . Found 16-bit register for signal . Found 20-bit register for signal . Found 4-bit register for signal . Found 20-bit register for signal . Found 20-bit register for signal . Found 5-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 12-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 16-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 8-bit register for signal . Found 8-bit register for signal . Found 8-bit register for signal >. Found 8-bit register for signal >. Found 8-bit register for signal >. Found 8-bit register for signal >. Found 8-bit register for signal >. Found 8-bit register for signal >. Found 8-bit register for signal >. Found 8-bit register for signal >. Found 8-bit register for signal >. Found 8-bit register for signal >. Found 8-bit register for signal >. Found 8-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal . Found 57-bit register for signal . Found 8-bit register for signal . Found 32-bit register for signal . Found 12-bit register for signal . Found 24-bit register for signal . Found 1-bit register for signal . Found 4-bit register for signal . Found 1-bit register for signal . Found 76-bit register for signal . Found 4-bit register for signal . Found 9-bit register for signal . Found 1-bit register for signal . Found 3-bit register for signal . Found 4-bit register for signal . Found 1-bit register for signal . Found 9-bit register for signal . Found 1-bit register for signal . Found 3-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 4-bit register for signal . Found 1-bit register for signal >. Found 6-bit adder for signal created at line 718. Found 12-bit adder for signal created at line 811. Found 32-bit adder for signal created at line 816. Found 24-bit adder for signal created at line 834. Found 8-bit adder for signal created at line 837. Found 8-bit adder for signal created at line 840. Found 8-bit adder for signal created at line 843. Found 16-bit adder for signal created at line 846. Found 16-bit adder for signal created at line 849. Found 16-bit adder for signal created at line 852. Found 16-bit adder for signal created at line 856. Found 16-bit adder for signal created at line 856. Found 16-bit adder for signal created at line 856. Found 16-bit adder for signal created at line 856. Found 16-bit adder for signal created at line 856. Found 16-bit adder for signal created at line 856. Found 16-bit adder for signal created at line 856. Found 16-bit adder for signal created at line 856. Found 16-bit adder for signal created at line 856. Found 16-bit adder for signal created at line 856. Found 16-bit adder for signal created at line 856. Found 16-bit adder for signal created at line 856. Found 16-bit adder for signal created at line 856. Found 16-bit adder for signal created at line 856. Found 16-bit adder for signal created at line 856. Found 27-bit adder for signal created at line 938. Found 4-bit adder for signal created at line 1175. Found 3-bit adder for signal created at line 1206. Found 16-bit adder for signal created at line 1382. Found 20-bit adder for signal created at line 1393. Found 20-bit adder for signal created at line 1405. Found 5-bit adder for signal created at line 1423. Found 4-bit adder for signal created at line 1559. Found 4-bit adder for signal created at line 1572. Found 9-bit adder for signal created at line 1578. Found 9-bit adder for signal created at line 1685. Found 4-bit subtractor for signal > created at line 1458. Found 6-bit subtractor for signal > created at line 1537. INFO:Xst:3019 - HDL ADVISOR - 256 flip-flops were inferred for signal . You may be trying to describe a RAM in a way that is incompatible with block and distributed RAM resources available on Xilinx devices, or with a specific template that is not supported. Please review the Xilinx resources documentation and the XST user manual for coding guidelines. Taking advantage of RAM resources will lead to improved device usage and reduced synthesis time. Found 32-bit 4-to-1 multiplexer for signal created at line 1315. Found 32-bit 16-to-1 multiplexer for signal created at line 1326. Found 32-bit 16-to-1 multiplexer for signal created at line 1345. Found 16-bit 16-to-1 multiplexer for signal created at line 1364. Found 32-bit 4-to-1 multiplexer for signal <_n1425> created at line 1308. Found 1-bit tristate buffer for signal created at line 527 Found 1-bit tristate buffer for signal created at line 531 Found 1-bit tristate buffer for signal created at line 546 Found 27-bit comparator equal for signal created at line 961 Summary: inferred 38 Adder/Subtractor(s). inferred 1159 D-type flip-flop(s). inferred 1 Comparator(s). inferred 107 Multiplexer(s). inferred 3 Tristate(s). Unit synthesized. Synthesizing Unit . Related source file is "/home/ise/D_DRIVE/amc13-firmware/src/common/SPI/SPI_if.vhd". WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:2935 - Signal 'STATUS<2:0>', unconnected in block 'SPI_if', is tied to its initial value (000). Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 5-bit register for signal . Found 7-bit register for signal . Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 8-bit register for signal . Found 32-bit register for signal . Found 32-bit register for signal . Found 16-bit register for signal . Found 16-bit register for signal . Found 8-bit register for signal . Found 3-bit adder for signal created at line 100. Found 16-bit adder for signal created at line 168. Found 8-bit 16-to-1 multiplexer for signal created at line 196. Summary: inferred 2 Adder/Subtractor(s). inferred 134 D-type flip-flop(s). inferred 16 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/T2_flash.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. INFO:Xst:3210 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/T2_flash.vhd" line 163: Output port of the instance is unconnected or connected to loadless signal. Found 4-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 13-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 2-bit register for signal . Found 2-bit register for signal . Found 1-bit register for signal . Found 14-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 9-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 12-bit adder for signal created at line 97. Found 12-bit adder for signal created at line 109. Found 9-bit comparator equal for signal created at line 90 Summary: inferred 2 Adder/Subtractor(s). inferred 53 D-type flip-flop(s). inferred 1 Comparator(s). inferred 14 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd". BRAM_SIZE = "18Kb" DEVICE = "SPARTAN6" DOA_REG = 0 DOB_REG = 0 INITP_00 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_01 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_02 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_03 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_04 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_05 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_06 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_07 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_08 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_09 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_0A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_0B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_0C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_0D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_0E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_0F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_00 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_01 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_02 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_03 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_04 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_05 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_06 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_07 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_08 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_09 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_0A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_0B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_0C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_0D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_0E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_0F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_10 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_11 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_12 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_13 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_14 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_15 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_16 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_17 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_18 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_19 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_1A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_1B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_1C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_1D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_1E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_1F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_20 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_21 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_22 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_23 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_24 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_25 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_26 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_27 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_28 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_29 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_2A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_2B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_2C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_2D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_2E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_2F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_30 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_31 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_32 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_33 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_34 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_35 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_36 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_37 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_38 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_39 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_3A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_3B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_3C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_3D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_3E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_3F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_40 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_41 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_42 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_43 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_44 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_45 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_46 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_47 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_48 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_49 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_4A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_4B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_4C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_4D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_4E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_4F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_50 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_51 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_52 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_53 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_54 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_55 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_56 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_57 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_58 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_59 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_5A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_5B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_5C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_5D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_5E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_5F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_60 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_61 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_62 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_63 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_64 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_65 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_66 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_67 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_68 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_69 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_6A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_6B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_6C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_6D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_6E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_6F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_70 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_71 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_72 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_73 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_74 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_75 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_76 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_77 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_78 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_79 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_7A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_7B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_7C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_7D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_7E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_7F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_A = "000000000000000000000000000000000000" INIT_B = "000000000000000000000000000000000000" INIT_FILE = "NONE" READ_WIDTH_A = 1 READ_WIDTH_B = 32 SIM_COLLISION_CHECK = "ALL" SIM_MODE = "SAFE" SRVAL_A = "000000000000000000000000000000000000" SRVAL_B = "000000000000000000000000000000000000" WRITE_MODE_A = "WRITE_FIRST" WRITE_MODE_B = "WRITE_FIRST" WRITE_WIDTH_A = 1 WRITE_WIDTH_B = 32 WARNING:Xst:2935 - Signal 'dia_pattern<31:1>', unconnected in block 'BRAM_TDP_MACRO_1', is tied to its initial value (0000000000000000000000000000000). WARNING:Xst:2935 - Signal 'dipa_pattern', unconnected in block 'BRAM_TDP_MACRO_1', is tied to its initial value (0000). WARNING:Xst:2935 - Signal 'dipb_pattern', unconnected in block 'BRAM_TDP_MACRO_1', is tied to its initial value (0000). Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd". BRAM_SIZE = "18Kb" DEVICE = "SPARTAN6" DOA_REG = 0 DOB_REG = 0 INITP_00 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_01 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_02 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_03 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_04 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_05 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_06 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_07 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_08 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_09 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_0A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_0B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_0C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_0D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_0E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_0F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_00 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_01 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_02 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_03 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_04 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_05 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_06 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_07 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_08 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_09 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_0A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_0B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_0C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_0D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_0E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_0F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_10 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_11 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_12 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_13 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_14 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_15 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_16 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_17 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_18 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_19 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_1A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_1B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_1C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_1D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_1E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_1F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_20 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_21 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_22 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_23 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_24 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_25 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_26 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_27 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_28 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_29 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_2A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_2B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_2C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_2D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_2E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_2F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_30 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_31 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_32 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_33 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_34 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_35 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_36 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_37 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_38 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_39 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_3A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_3B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_3C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_3D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_3E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_3F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_40 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_41 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_42 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_43 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_44 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_45 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_46 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_47 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_48 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_49 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_4A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_4B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_4C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_4D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_4E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_4F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_50 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_51 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_52 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_53 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_54 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_55 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_56 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_57 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_58 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_59 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_5A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_5B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_5C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_5D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_5E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_5F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_60 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_61 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_62 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_63 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_64 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_65 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_66 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_67 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_68 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_69 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_6A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_6B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_6C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_6D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_6E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_6F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_70 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_71 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_72 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_73 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_74 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_75 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_76 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_77 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_78 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_79 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_7A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_7B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_7C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_7D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_7E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_7F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_A = "000000000000000000000000000000000000" INIT_B = "000000000000000000000000000000000000" INIT_FILE = "NONE" READ_WIDTH_A = 1 READ_WIDTH_B = 32 SIM_COLLISION_CHECK = "ALL" SIM_MODE = "SAFE" SRVAL_A = "000000000000000000000000000000000000" SRVAL_B = "000000000000000000000000000000000000" WRITE_MODE_A = "WRITE_FIRST" WRITE_MODE_B = "WRITE_FIRST" WRITE_WIDTH_A = 1 WRITE_WIDTH_B = 32 WARNING:Xst:2935 - Signal 'dia_pattern<31:1>', unconnected in block 'BRAM_TDP_MACRO_2', is tied to its initial value (0000000000000000000000000000000). WARNING:Xst:2935 - Signal 'dipa_pattern', unconnected in block 'BRAM_TDP_MACRO_2', is tied to its initial value (0000). WARNING:Xst:2935 - Signal 'dipb_pattern', unconnected in block 'BRAM_TDP_MACRO_2', is tied to its initial value (0000). Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/GTP_if_AXI4.vhd". Set property "KEEP = TRUE" for signal . WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. INFO:Xst:3210 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/GTP_if_AXI4.vhd" line 341: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/GTP_if_AXI4.vhd" line 341: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/GTP_if_AXI4.vhd" line 376: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/GTP_if_AXI4.vhd" line 376: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/GTP_if_AXI4.vhd" line 376: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/GTP_if_AXI4.vhd" line 376: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/GTP_if_AXI4.vhd" line 376: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/GTP_if_AXI4.vhd" line 376: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/GTP_if_AXI4.vhd" line 376: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/GTP_if_AXI4.vhd" line 376: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/GTP_if_AXI4.vhd" line 376: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/GTP_if_AXI4.vhd" line 376: Output port of the instance is unconnected or connected to loadless signal. WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND. Found 16-bit register for signal . Found 16-bit adder for signal created at line 317. Summary: inferred 1 Adder/Subtractor(s). inferred 16 D-type flip-flop(s). inferred 1 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/soft_emac_AXI4.vhd". INFO:Xst:3210 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/soft_emac_AXI4.vhd" line 208: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/soft_emac_AXI4.vhd" line 267: Output port of the instance is unconnected or connected to loadless signal. Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 8-bit register for signal . Found 8-bit register for signal . Found 2-bit register for signal . Found 5-bit register for signal . Found 3-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 3-bit register for signal . Found 8-bit register for signal . Found 11-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 8-bit register for signal . Found 1-bit register for signal . Found 8-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 11-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 2-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 8-bit register for signal . Found 1-bit register for signal . Found 2-bit adder for signal created at line 132. Found 5-bit adder for signal created at line 137. Found 3-bit adder for signal created at line 142. Found 3-bit adder for signal created at line 164. Found 11-bit adder for signal created at line 184. Found 11-bit adder for signal created at line 236. Found 8-bit 4-to-1 multiplexer for signal created at line 167. Summary: inferred 6 Adder/Subtractor(s). inferred 104 D-type flip-flop(s). inferred 5 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/EthernetCRC.vhd". Found 2-bit register for signal . Found 32-bit register for signal . Found 1-bit register for signal . Summary: inferred 35 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/s6link_gbe.vhd". WRAPPER_SIM_GTPRESET_SPEEDUP = 0 WRAPPER_CLK25_DIVIDER_0 = 5 WRAPPER_CLK25_DIVIDER_1 = 5 WRAPPER_PLL_DIVSEL_FB_0 = 2 WRAPPER_PLL_DIVSEL_FB_1 = 2 WRAPPER_PLL_DIVSEL_REF_0 = 1 WRAPPER_PLL_DIVSEL_REF_1 = 1 WRAPPER_SIMULATION = 0 Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/s6link_gbe_tile.vhd". TILE_SIM_GTPRESET_SPEEDUP = 0 TILE_CLK25_DIVIDER_0 = 5 TILE_CLK25_DIVIDER_1 = 5 TILE_PLL_DIVSEL_FB_0 = 2 TILE_PLL_DIVSEL_FB_1 = 2 TILE_PLL_DIVSEL_REF_0 = 1 TILE_PLL_DIVSEL_REF_1 = 1 TILE_PLL_SOURCE_0 = "PLL0" TILE_PLL_SOURCE_1 = "PLL1" Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/ipbus_ctrl.vhd". MAC_CFG = external IP_CFG = external BUFWIDTH = 4 INTERNALWIDTH = 1 ADDRWIDTH = 11 IPBUSPORT = "1100001101010001" SECONDARYPORT = '0' N_OOB = 0 INFO:Xst:3210 - "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/ipbus_ctrl.vhd" line 81: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/ipbus_ctrl.vhd" line 81: Output port of the instance is unconnected or connected to loadless signal. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_if_flat.vhd". BUFWIDTH = 4 INTERNALWIDTH = 1 ADDRWIDTH = 11 IPBUSPORT = "1100001101010001" SECONDARYPORT = '0' WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. INFO:Xst:3210 - "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_if_flat.vhd" line 460: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_if_flat.vhd" line 509: Output port of the instance is unconnected or connected to loadless signal. Found 1-bit register for signal . Summary: inferred 1 D-type flip-flop(s). inferred 1 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_ipaddr_block.vhd". Found 42-bit register for signal . Found 32-bit register for signal . Found 32-bit register for signal . Found 1-bit register for signal . Found 32-bit register for signal . Found 32-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Summary: inferred 173 D-type flip-flop(s). inferred 9 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_rarp_block.vhd". Found 13-bit register for signal . Found 1-bit register for signal . Found 42-bit register for signal . Found 336-bit register for signal . Found 8-bit register for signal . Found 1-bit register for signal . Found 6-bit register for signal . Found 1-bit register for signal . Found 6-bit register for signal
. Found 24-bit register for signal . Found 1-bit register for signal . Found 16-bit register for signal . Found 16-bit register for signal . Found 5-bit register for signal . Found 6-bit register for signal . Found 6-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 6-bit adder for signal created at line 1241. Found 24-bit adder for signal created at line 1241. Found 6-bit adder for signal created at line 1241. Found 6-bit comparator equal for signal created at line 190 Summary: inferred 3 Adder/Subtractor(s). inferred 490 D-type flip-flop(s). inferred 1 Comparator(s). inferred 13 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_build_arp.vhd". Found 13-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 6-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 48-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 48-bit register for signal . Found 1-bit register for signal . Found 6-bit register for signal . Found 1-bit register for signal . Found 6-bit register for signal . Found 6-bit register for signal
. Found 6-bit register for signal . Found 48-bit register for signal . Found 8-bit register for signal . Found 1-bit register for signal . Found 6-bit adder for signal created at line 1241. Summary: inferred 1 Adder/Subtractor(s). inferred 205 D-type flip-flop(s). inferred 15 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_build_payload.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 16-bit register for signal . Found 16-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 16-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 8-bit register for signal . Found 16-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 8-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 13-bit register for signal . Found 1-bit register for signal . Found 13-bit register for signal . Found 13-bit register for signal . Found 13-bit register for signal . Found 1-bit register for signal . Found 13-bit register for signal . Found 1-bit register for signal . Found 13-bit register for signal . Found 1-bit register for signal . Found 13-bit register for signal
. Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 16-bit register for signal . Found 8-bit register for signal . Found 32-bit register for signal . Found 32-bit register for signal . Found 1-bit register for signal . Found 6-bit register for signal . Found 13-bit adder for signal created at line 1241. Found 16-bit 4-to-1 multiplexer for signal <_n0299> created at line 243. WARNING:Xst:2404 - FFs/Latches > (without init value) have a constant value of 0 in block . Summary: inferred 1 Adder/Subtractor(s). inferred 295 D-type flip-flop(s). inferred 76 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_build_ping.vhd". Found 1-bit register for signal . Found 13-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 13-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 16-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 16-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 8-bit register for signal . Found 1-bit register for signal . Found 13-bit register for signal . Found 1-bit register for signal . Found 13-bit register for signal . Found 1-bit register for signal . Found 13-bit register for signal
. Found 1-bit register for signal . Found 13-bit register for signal . Found 1-bit register for signal . Found 16-bit register for signal . Found 8-bit register for signal . Found 2-bit register for signal . Found 6-bit register for signal . Found 13-bit adder for signal created at line 1241. Found 1-bit 3-to-1 multiplexer for signal created at line 53. Found 13-bit 3-to-1 multiplexer for signal created at line 53. Found 1-bit 3-to-1 multiplexer for signal created at line 53. Found 2-bit 3-to-1 multiplexer for signal created at line 53. WARNING:Xst:2404 - FFs/Latches > (without init value) have a constant value of 0 in block . Summary: inferred 1 Adder/Subtractor(s). inferred 170 D-type flip-flop(s). inferred 43 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_build_resend.vhd". Found 45-bit register for signal . Found 16-bit register for signal . Found 16-bit register for signal . Found 1-bit register for signal . Summary: inferred 78 D-type flip-flop(s). inferred 5 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_build_status.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 7-bit register for signal . Found 7-bit register for signal . Found 1-bit register for signal . Found 7-bit register for signal . Found 7-bit register for signal
. Found 7-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 128-bit register for signal . Found 8-bit register for signal . Found 13-bit register for signal . Found 7-bit adder for signal created at line 1241. Summary: inferred 1 Adder/Subtractor(s). inferred 195 D-type flip-flop(s). inferred 15 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_status_buffer.vhd". BUFWIDTH = 4 ADDRWIDTH = 11 Found 16-bit register for signal . Found 128-bit register for signal
. Found 16-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 128-bit register for signal . Found 8-bit register for signal . Found 5-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 5-bit register for signal . Found 128-bit register for signal . Found 128-bit register for signal . Found 2-bit register for signal . Found 2-bit adder for signal created at line 74. Found 16-bit adder for signal created at line 1241. Found 128-bit 4-to-1 multiplexer for signal created at line 58. Summary: inferred 2 Adder/Subtractor(s). inferred 576 D-type flip-flop(s). inferred 97 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_byte_sum.vhd". Found 8-bit register for signal . Found 1-bit register for signal . Found 9-bit register for signal . Found 9-bit register for signal . Found 9-bit register for signal . Found 1-bit register for signal . Found 9-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 9-bit register for signal . Found 1-bit register for signal . Found 9-bit adder for signal created at line 65. Found 9-bit adder for signal created at line 1241. Summary: inferred 2 Adder/Subtractor(s). inferred 59 D-type flip-flop(s). inferred 14 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_do_rx_reset.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 11-bit register for signal >. Summary: inferred 13 D-type flip-flop(s). inferred 3 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_packet_parser.vhd". IPBUSPORT = "1100001101010001" SECONDARYPORT = '0' Found 112-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 38-bit register for signal . Found 128-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 34-bit register for signal . Found 10-bit register for signal . Found 128-bit register for signal . Found 8-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 36-bit register for signal . Found 24-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 38-bit register for signal . Found 24-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 45-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 32-bit register for signal . Found 32-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 32-bit register for signal . Found 32-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 48-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 16-bit register for signal . Found 4-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 6-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 42-bit register for signal . Found 8-bit comparator not equal for signal created at line 77 Found 8-bit comparator not equal for signal created at line 116 Found 8-bit comparator not equal for signal created at line 159 Found 8-bit comparator not equal for signal created at line 209 Found 8-bit comparator not equal for signal created at line 252 Found 8-bit comparator not equal for signal created at line 318 Found 8-bit comparator not equal for signal created at line 321 Found 8-bit comparator not equal for signal created at line 361 Found 8-bit comparator not equal for signal created at line 364 Found 8-bit comparator not equal for signal created at line 399 Found 8-bit comparator not equal for signal created at line 430 Summary: inferred 897 D-type flip-flop(s). inferred 11 Comparator(s). inferred 80 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_rxram_mux.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 13-bit register for signal . Found 1-bit register for signal . Found 8-bit register for signal . Found 13-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Summary: inferred 39 D-type flip-flop(s). inferred 19 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_dualportram.vhd". BUFWIDTH = 1 ADDRWIDTH = 11 WARNING:Xst:37 - Detected unknown constraint/property "block_ram". This constraint/property is not supported by the current software release and will be ignored. Found 4096x8-bit dual-port RAM for signal . Found 8-bit register for signal . Summary: inferred 1 RAM(s). inferred 8 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_buffer_selector.vhd". BUFWIDTH = 1 Found 2-bit register for signal . Found 2-bit register for signal . Found 2-bit register for signal . Found 2-bit register for signal . Found 2-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 2-bit register for signal . Found 1-bit adder for signal > created at line 1241. Found 1-bit adder for signal > created at line 1241. Summary: inferred 2 Adder/Subtractor(s). inferred 21 D-type flip-flop(s). inferred 35 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_rxram_shim.vhd". BUFWIDTH = 1 Found 13-bit register for signal >. Found 1-bit register for signal . Found 13-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 13-bit register for signal >. Summary: inferred 42 D-type flip-flop(s). inferred 1 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_dualportram_rx.vhd". BUFWIDTH = 4 ADDRWIDTH = 11 WARNING:Xst:37 - Detected unknown constraint/property "block_ram". This constraint/property is not supported by the current software release and will be ignored. WARNING:Xst:37 - Detected unknown constraint/property "block_ram". This constraint/property is not supported by the current software release and will be ignored. WARNING:Xst:37 - Detected unknown constraint/property "block_ram". This constraint/property is not supported by the current software release and will be ignored. WARNING:Xst:37 - Detected unknown constraint/property "block_ram". This constraint/property is not supported by the current software release and will be ignored. Found 8192x8-bit dual-port RAM for signal . Found 8192x8-bit dual-port RAM for signal . Found 8192x8-bit dual-port RAM for signal . Found 8192x8-bit dual-port RAM for signal . Found 32-bit register for signal . Summary: inferred 4 RAM(s). inferred 32 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_buffer_selector.vhd". BUFWIDTH = 4 Found 16-bit register for signal . Found 16-bit register for signal . Found 16-bit register for signal . Found 16-bit register for signal . Found 16-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 4-bit register for signal . Found 4-bit register for signal . Found 4-bit register for signal . Found 4-bit register for signal . Found 16-bit register for signal . Found 4-bit adder for signal created at line 1241. Found 4-bit adder for signal created at line 1241. Found 1-bit 16-to-1 multiplexer for signal created at line 55. Found 1-bit 16-to-1 multiplexer for signal created at line 123. Found 1-bit 16-to-1 multiplexer for signal created at line 145. Summary: inferred 2 Adder/Subtractor(s). inferred 117 D-type flip-flop(s). inferred 154 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_dualportram_tx.vhd". BUFWIDTH = 4 ADDRWIDTH = 11 WARNING:Xst:37 - Detected unknown constraint/property "block_ram". This constraint/property is not supported by the current software release and will be ignored. Found 8192x32-bit dual-port RAM for signal . Found 2-bit register for signal . Found 32-bit register for signal . Found 8-bit 4-to-1 multiplexer for signal created at line 56. Summary: inferred 1 RAM(s). inferred 34 D-type flip-flop(s). inferred 1 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_rxtransactor_if_simple.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Summary: inferred 4 D-type flip-flop(s). inferred 5 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_tx_mux.vhd". Found 13-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 13-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 8-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 8-bit register for signal . Found 1-bit register for signal . Found 5-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 5-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 13-bit register for signal . Found 8-bit register for signal . Found 16-bit register for signal . Found 16-bit register for signal . Found 16-bit register for signal . Found 16-bit register for signal . Found 13-bit register for signal . Found 8-bit register for signal . Found 16-bit register for signal . Found 16-bit register for signal . Found 16-bit register for signal . Found 13-bit register for signal . Found 1-bit register for signal . Found 13-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 13-bit register for signal . Found 8-bit register for signal . Found 8-bit register for signal . Found 1-bit register for signal . Found 8-bit register for signal . Found 32-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 32-bit register for signal . Found 1-bit register for signal . Found 3-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 13-bit register for signal . Found 3-bit register for signal . Found 13-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 13-bit register for signal . Found 1-bit register for signal . Found 5-bit adder for signal created at line 1241. Found 13-bit adder for signal created at line 1241. Found 3-bit 8-to-1 multiplexer for signal created at line 570. Found 13-bit comparator equal for signal created at line 614 Summary: inferred 2 Adder/Subtractor(s). inferred 421 D-type flip-flop(s). inferred 1 Comparator(s). inferred 169 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_txtransactor_if_simple.vhd". BUFWIDTH = 4 WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 16-bit register for signal >. Found 16-bit register for signal >. Found 16-bit register for signal >. Found 16-bit register for signal >. Found 16-bit register for signal >. Found 16-bit register for signal >. Found 16-bit register for signal >. Found 16-bit register for signal >. Found 16-bit register for signal >. Found 16-bit register for signal >. Found 16-bit register for signal >. Found 16-bit register for signal >. Found 16-bit register for signal >. Found 16-bit register for signal >. Found 16-bit register for signal >. Found 1-bit register for signal . Found 1-bit register for signal . Found 4-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 16-bit register for signal >. Found 16-bit comparator equal for signal created at line 69 Found 16-bit comparator equal for signal created at line 69 Found 16-bit comparator equal for signal created at line 69 Found 16-bit comparator equal for signal created at line 69 Found 16-bit comparator equal for signal created at line 69 Found 16-bit comparator equal for signal created at line 69 Found 16-bit comparator equal for signal created at line 69 Found 16-bit comparator equal for signal created at line 69 Found 16-bit comparator equal for signal created at line 69 Found 16-bit comparator equal for signal created at line 69 Found 16-bit comparator equal for signal created at line 69 Found 16-bit comparator equal for signal created at line 69 Found 16-bit comparator equal for signal created at line 69 Found 16-bit comparator equal for signal created at line 69 Found 16-bit comparator equal for signal created at line 69 Found 16-bit comparator equal for signal created at line 69 Summary: inferred 264 D-type flip-flop(s). inferred 16 Comparator(s). inferred 29 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/udp_clock_crossing_if.vhd". BUFWIDTH = 4 Set property "KEEP = TRUE" for signal . Set property "KEEP = TRUE" for signal . Set property "KEEP = TRUE" for signal . Set property "KEEP = TRUE" for signal . Set property "KEEP = TRUE" for signal . Set property "KEEP = TRUE" for signal . Set property "KEEP = TRUE" for signal . Set property "KEEP = TRUE" for signal . Set property "KEEP = TRUE" for signal . Set property "KEEP = TRUE" for signal . Set property "KEEP = TRUE" for signal . Found 3-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 3-bit register for signal . Found 3-bit register for signal . Found 1-bit register for signal . Found 3-bit register for signal . Found 2-bit register for signal >. Found 1-bit register for signal . Found 2-bit register for signal . Found 2-bit register for signal . Found 2-bit register for signal . Found 2-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 3-bit register for signal . Found 3-bit register for signal . Found 4-bit register for signal . Found 1-bit register for signal . Found 4-bit register for signal . Found 4-bit register for signal . Found 4-bit register for signal . Found 4-bit register for signal . Found 3-bit register for signal . INFO:Xst:2774 - HDL ADVISOR - KEEP property attached to signal rx_read_buf_buf may hinder XST clustering optimizations. INFO:Xst:2774 - HDL ADVISOR - KEEP property attached to signal tx_write_buf_buf may hinder XST clustering optimizations. Summary: inferred 59 D-type flip-flop(s). inferred 7 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/transactor.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/transactor_if.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 32-bit register for signal . Found 3-bit register for signal . Found 1-bit register for signal . Found 16-bit register for signal . Found 16-bit register for signal . Found 12-bit register for signal . Found 16-bit register for signal . Found 16-bit register for signal . Found 12-bit register for signal . Found 1-bit register for signal . Found 12-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 7 | | Transitions | 15 | | Inputs | 8 | | Outputs | 6 | | Clock | clk (rising_edge) | | Reset | rst (positive) | | Reset type | synchronous | | Reset State | st_idle | | Power Up State | st_idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 12-bit adder for signal created at line 1241. Found 12-bit adder for signal created at line 1241. Found 16-bit adder for signal created at line 1241. Found 16-bit adder for signal created at line 1241. Found 16-bit comparator equal for signal created at line 98 Found 16-bit comparator greater for signal created at line 177 Summary: inferred 4 Adder/Subtractor(s). inferred 137 D-type flip-flop(s). inferred 2 Comparator(s). inferred 8 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/transactor_sm.vhd". Found 1-bit register for signal . Found 32-bit register for signal . Found 32-bit register for signal . Found 8-bit register for signal . Found 8-bit register for signal . Found 8-bit register for signal . Found 1-bit register for signal . Found 32-bit register for signal . Found 32-bit register for signal . Found 32-bit register for signal . Found 4-bit register for signal . Found 3-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 6 | | Transitions | 18 | | Inputs | 10 | | Outputs | 10 | | Clock | clk (rising_edge) | | Reset | rst (positive) | | Reset type | synchronous | | Reset State | st_idle | | Power Up State | st_idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 32-bit adder for signal created at line 1241. Found 8-bit adder for signal created at line 1241. Found 8-bit adder for signal created at line 1241. Found 32-bit adder for signal created at line 166. Found 8-bit subtractor for signal > created at line 1308. Found 4-bit 4-to-1 multiplexer for signal <_n0265> created at line 61. Summary: inferred 5 Adder/Subtractor(s). inferred 190 D-type flip-flop(s). inferred 9 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/transactor_cfg.vhd". Found 128-bit register for signal . Found 32-bit adder for signal created at line 46. Summary: inferred 1 Adder/Subtractor(s). inferred 128 D-type flip-flop(s). inferred 128 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/stretcher.vhd". INFO:Xst:3210 - "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/stretcher.vhd" line 27: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/ipbus_core/hdl/stretcher.vhd" line 27: Output port of the instance is unconnected or connected to loadless signal. Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Summary: inferred 5 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "/home/ise/D_DRIVE/amc13-firmware/src/common/IPBUS/clock_div.vhd". Found 28-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 28-bit adder for signal created at line 53. Summary: inferred 1 Adder/Subtractor(s). inferred 31 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/reboot.vhd". Found 1-bit register for signal . Found 16-bit register for signal . Found 4-bit register for signal . Found 4-bit adder for signal created at line 56. Found 16x16-bit Read Only RAM for signal Summary: inferred 1 RAM(s). inferred 1 Adder/Subtractor(s). inferred 21 D-type flip-flop(s). inferred 1 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd". BRAM_SIZE = "18Kb" DEVICE = "SPARTAN6" DO_REG = 0 INITP_00 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_01 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_02 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_03 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_04 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_05 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_06 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_07 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_08 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_09 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_0A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_0B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_0C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_0D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_0E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_0F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_00 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_01 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_02 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_03 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_04 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_05 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_06 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_07 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_08 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_09 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_0A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_0B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_0C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_0D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_0E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_0F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_10 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_11 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_12 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_13 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_14 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_15 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_16 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_17 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_18 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_19 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_1A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_1B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_1C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_1D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_1E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_1F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_20 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_21 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_22 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_23 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_24 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_25 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_26 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_27 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_28 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_29 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_2A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_2B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_2C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_2D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_2E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_2F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_30 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_31 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_32 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_33 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_34 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_35 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_36 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_37 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_38 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_39 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_3A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_3B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_3C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_3D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_3E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_3F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_40 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_41 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_42 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_43 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_44 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_45 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_46 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_47 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_48 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_49 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_4A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_4B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_4C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_4D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_4E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_4F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_50 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_51 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_52 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_53 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_54 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_55 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_56 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_57 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_58 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_59 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_5A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_5B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_5C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_5D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_5E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_5F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_60 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_61 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_62 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_63 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_64 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_65 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_66 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_67 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_68 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_69 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_6A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_6B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_6C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_6D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_6E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_6F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_70 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_71 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_72 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_73 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_74 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_75 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_76 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_77 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_78 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_79 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_7A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_7B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_7C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_7D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_7E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_7F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT = "000000000000000000000000000000000000000000000000000000000000000000000000" INIT_FILE = "NONE" READ_WIDTH = 32 WRITE_MODE = "WRITE_FIRST" WRITE_WIDTH = 32 SIM_COLLISION_CHECK = "ALL" SIM_MODE = "SAFE" SRVAL = "000000000000000000000000000000000000000000000000000000000000000000000000" WARNING:Xst:2935 - Signal 'dip_pattern', unconnected in block 'BRAM_SDP_MACRO_1', is tied to its initial value (0000). Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_SDP_MACRO.vhd". BRAM_SIZE = "9Kb" DEVICE = "SPARTAN6" DO_REG = 0 INITP_00 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_01 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_02 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_03 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_04 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_05 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_06 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_07 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_08 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_09 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_0A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_0B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_0C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_0D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_0E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_0F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_00 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_01 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_02 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_03 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_04 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_05 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_06 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_07 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_08 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_09 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_0A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_0B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_0C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_0D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_0E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_0F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_10 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_11 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_12 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_13 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_14 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_15 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_16 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_17 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_18 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_19 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_1A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_1B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_1C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_1D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_1E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_1F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_20 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_21 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_22 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_23 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_24 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_25 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_26 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_27 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_28 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_29 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_2A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_2B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_2C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_2D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_2E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_2F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_30 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_31 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_32 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_33 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_34 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_35 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_36 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_37 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_38 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_39 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_3A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_3B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_3C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_3D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_3E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_3F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_40 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_41 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_42 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_43 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_44 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_45 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_46 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_47 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_48 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_49 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_4A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_4B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_4C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_4D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_4E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_4F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_50 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_51 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_52 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_53 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_54 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_55 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_56 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_57 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_58 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_59 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_5A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_5B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_5C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_5D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_5E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_5F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_60 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_61 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_62 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_63 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_64 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_65 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_66 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_67 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_68 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_69 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_6A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_6B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_6C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_6D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_6E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_6F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_70 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_71 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_72 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_73 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_74 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_75 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_76 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_77 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_78 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_79 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_7A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_7B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_7C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_7D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_7E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_7F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT = "000000000000000000000000000000000000000000000000000000000000000000000000" INIT_FILE = "NONE" READ_WIDTH = 12 WRITE_MODE = "WRITE_FIRST" WRITE_WIDTH = 12 SIM_COLLISION_CHECK = "ALL" SIM_MODE = "SAFE" SRVAL = "000000000000000000000000000000000000000000000000000000000000000000000000" WARNING:Xst:2935 - Signal 'di_pattern<15:12>', unconnected in block 'BRAM_SDP_MACRO_2', is tied to its initial value (0000). WARNING:Xst:2935 - Signal 'dip_pattern', unconnected in block 'BRAM_SDP_MACRO_2', is tied to its initial value (00). Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/trig_data.vhd". Set property "IOB = FALSE" for signal . Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 9-bit register for signal . Found 1-bit 8-to-1 multiplexer for signal created at line 86. Summary: inferred 14 D-type flip-flop(s). inferred 1 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "/build/xfndry10/P.20131013/rtf/devlib/vhdl/src/unimacro/BRAM_TDP_MACRO.vhd". BRAM_SIZE = "18Kb" DEVICE = "SPARTAN6" DOA_REG = 0 DOB_REG = 0 INITP_00 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_01 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_02 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_03 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_04 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_05 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_06 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_07 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_08 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_09 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_0A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_0B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_0C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_0D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_0E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INITP_0F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_00 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_01 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_02 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_03 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_04 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_05 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_06 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_07 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_08 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_09 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_0A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_0B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_0C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_0D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_0E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_0F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_10 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_11 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_12 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_13 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_14 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_15 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_16 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_17 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_18 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_19 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_1A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_1B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_1C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_1D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_1E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_1F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_20 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_21 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_22 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_23 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_24 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_25 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_26 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_27 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_28 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_29 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_2A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_2B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_2C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_2D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_2E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_2F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_30 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_31 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_32 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_33 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_34 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_35 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_36 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_37 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_38 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_39 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_3A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_3B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_3C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_3D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_3E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_3F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_40 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_41 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_42 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_43 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_44 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_45 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_46 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_47 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_48 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_49 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_4A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_4B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_4C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_4D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_4E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_4F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_50 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_51 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_52 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_53 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_54 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_55 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_56 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_57 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_58 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_59 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_5A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_5B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_5C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_5D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_5E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_5F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_60 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_61 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_62 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_63 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_64 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_65 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_66 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_67 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_68 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_69 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_6A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_6B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_6C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_6D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_6E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_6F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_70 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_71 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_72 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_73 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_74 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_75 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_76 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_77 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_78 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_79 = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_7A = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_7B = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_7C = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_7D = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_7E = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_7F = "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" INIT_A = "000000000000000000000000000000000000" INIT_B = "000000000000000000000000000000000000" INIT_FILE = "NONE" READ_WIDTH_A = 32 READ_WIDTH_B = 16 SIM_COLLISION_CHECK = "ALL" SIM_MODE = "SAFE" SRVAL_A = "000000000000000000000000000000000000" SRVAL_B = "000000000000000000000000000000000000" WRITE_MODE_A = "WRITE_FIRST" WRITE_MODE_B = "WRITE_FIRST" WRITE_WIDTH_A = 32 WRITE_WIDTH_B = 16 WARNING:Xst:2935 - Signal 'dib_pattern<31:16>', unconnected in block 'BRAM_TDP_MACRO_3', is tied to its initial value (0000000000000000). WARNING:Xst:2935 - Signal 'dipa_pattern', unconnected in block 'BRAM_TDP_MACRO_3', is tied to its initial value (0000). WARNING:Xst:2935 - Signal 'dipb_pattern', unconnected in block 'BRAM_TDP_MACRO_3', is tied to its initial value (0000). Summary: no macro. Unit synthesized. ========================================================================= HDL Synthesis Report Macro Statistics # RAMs : 7 16x16-bit single-port Read Only RAM : 1 4096x8-bit dual-port RAM : 1 8192x32-bit dual-port RAM : 1 8192x8-bit dual-port RAM : 4 # Adders/Subtractors : 83 1-bit adder : 2 11-bit adder : 2 12-bit adder : 5 13-bit adder : 3 16-bit adder : 24 2-bit adder : 2 20-bit adder : 2 24-bit adder : 2 27-bit adder : 1 28-bit adder : 2 3-bit adder : 4 32-bit adder : 4 4-bit adder : 8 4-bit subtractor : 1 5-bit adder : 3 6-bit adder : 4 6-bit subtractor : 1 7-bit adder : 1 8-bit adder : 5 8-bit subtractor : 1 9-bit adder : 6 # Registers : 787 1-bit register : 427 10-bit register : 1 11-bit register : 3 112-bit register : 1 12-bit register : 7 128-bit register : 8 13-bit register : 33 14-bit register : 1 16-bit register : 81 2-bit register : 23 20-bit register : 3 24-bit register : 5 27-bit register : 1 28-bit register : 2 3-bit register : 14 32-bit register : 26 336-bit register : 1 34-bit register : 1 36-bit register : 1 38-bit register : 2 4-bit register : 25 42-bit register : 3 45-bit register : 2 48-bit register : 4 5-bit register : 9 57-bit register : 1 6-bit register : 14 7-bit register : 6 76-bit register : 2 8-bit register : 53 9-bit register : 27 # Comparators : 33 13-bit comparator equal : 1 16-bit comparator equal : 17 16-bit comparator greater : 1 27-bit comparator equal : 1 6-bit comparator equal : 1 8-bit comparator not equal : 11 9-bit comparator equal : 1 # Multiplexers : 1262 1-bit 16-to-1 multiplexer : 6 1-bit 2-to-1 multiplexer : 872 1-bit 3-to-1 multiplexer : 2 1-bit 8-to-1 multiplexer : 14 10-bit 2-to-1 multiplexer : 1 112-bit 2-to-1 multiplexer : 1 12-bit 2-to-1 multiplexer : 5 128-bit 2-to-1 multiplexer : 6 128-bit 4-to-1 multiplexer : 1 13-bit 2-to-1 multiplexer : 40 13-bit 3-to-1 multiplexer : 1 16-bit 16-to-1 multiplexer : 1 16-bit 2-to-1 multiplexer : 67 16-bit 4-to-1 multiplexer : 1 17-bit 2-to-1 multiplexer : 1 2-bit 2-to-1 multiplexer : 12 2-bit 3-to-1 multiplexer : 1 24-bit 2-to-1 multiplexer : 4 27-bit 2-to-1 multiplexer : 1 28-bit 2-to-1 multiplexer : 1 3-bit 2-to-1 multiplexer : 8 3-bit 8-to-1 multiplexer : 1 32-bit 16-to-1 multiplexer : 2 32-bit 2-to-1 multiplexer : 37 32-bit 4-to-1 multiplexer : 2 34-bit 2-to-1 multiplexer : 1 36-bit 2-to-1 multiplexer : 1 38-bit 2-to-1 multiplexer : 2 4-bit 2-to-1 multiplexer : 33 4-bit 4-to-1 multiplexer : 1 42-bit 2-to-1 multiplexer : 3 45-bit 2-to-1 multiplexer : 2 48-bit 2-to-1 multiplexer : 5 5-bit 2-to-1 multiplexer : 13 6-bit 2-to-1 multiplexer : 14 7-bit 2-to-1 multiplexer : 5 8-bit 16-to-1 multiplexer : 1 8-bit 2-to-1 multiplexer : 75 8-bit 4-to-1 multiplexer : 2 9-bit 2-to-1 multiplexer : 16 # Tristates : 3 1-bit tristate buffer : 3 # FSMs : 2 # Xors : 178 1-bit xor13 : 1 1-bit xor2 : 108 1-bit xor3 : 24 1-bit xor4 : 22 1-bit xor5 : 13 1-bit xor6 : 6 16-bit xor2 : 1 16-bit xor4 : 1 8-bit xor2 : 2 ========================================================================= ========================================================================= * Advanced HDL Synthesis * ========================================================================= Reading core <../T2new/LinkFIFO.ngc>. Reading core <../T2new/gig_eth_pcs_pma_v11_1.ngc>. Loading core for timing and area information for instance . Loading core for timing and area information for instance . WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2404 - FFs/Latches > (without init value) have a constant value of 0 in block . WARNING:Xst:2404 - FFs/Latches > (without init value) have a constant value of 0 in block . WARNING:Xst:2404 - FFs/Latches > (without init value) have a constant value of 0 in block . Synthesizing (advanced) Unit . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter
: 1 register on signal
. The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . Unit synthesized (advanced). Synthesizing (advanced) Unit . The following registers are absorbed into counter : 1 register on signal . Unit synthesized (advanced). Synthesizing (advanced) Unit . The following registers are absorbed into counter : 1 register on signal . Unit synthesized (advanced). Synthesizing (advanced) Unit . The following registers are absorbed into counter : 1 register on signal . INFO:Xst:3217 - HDL ADVISOR - Register currently described with an asynchronous reset, could be combined with distributed RAM for implementation on block RAM resources if you made this reset synchronous instead. ----------------------------------------------------------------------- | ram_type | Distributed | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 16-word x 16-bit | | | weA | connected to signal | high | | addrA | connected to signal | | | diA | connected to signal | | | doA | connected to internal node | | ----------------------------------------------------------------------- Unit synthesized (advanced). Synthesizing (advanced) Unit . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . Unit synthesized (advanced). Synthesizing (advanced) Unit . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . Unit synthesized (advanced). Synthesizing (advanced) Unit . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . Unit synthesized (advanced). Synthesizing (advanced) Unit . INFO:Xst:3226 - The RAM will be implemented as a BLOCK RAM, absorbing the following register(s): ----------------------------------------------------------------------- | ram_type | Block | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 4096-word x 8-bit | | | mode | write-first | | | clkA | connected to signal | rise | | weA | connected to signal | high | | addrA | connected to signal | | | diA | connected to signal | | ----------------------------------------------------------------------- | optimization | speed | | ----------------------------------------------------------------------- | Port B | | aspect ratio | 4096-word x 8-bit | | | mode | write-first | | | clkB | connected to signal | rise | | addrB | connected to signal | | | doB | connected to signal | | ----------------------------------------------------------------------- | optimization | speed | | ----------------------------------------------------------------------- Unit synthesized (advanced). Synthesizing (advanced) Unit . INFO:Xst:3226 - The RAM will be implemented as a BLOCK RAM, absorbing the following register(s): ----------------------------------------------------------------------- | ram_type | Block | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 8192-word x 8-bit | | | mode | write-first | | | clkA | connected to signal | rise | | weA | connected to internal node | high | | addrA | connected to signal > | | | diA | connected to signal | | ----------------------------------------------------------------------- | optimization | speed | | ----------------------------------------------------------------------- | Port B | | aspect ratio | 8192-word x 8-bit | | | mode | write-first | | | clkB | connected to signal | rise | | addrB | connected to signal | | | doB | connected to signal | | ----------------------------------------------------------------------- | optimization | speed | | ----------------------------------------------------------------------- INFO:Xst:3226 - The RAM will be implemented as a BLOCK RAM, absorbing the following register(s): ----------------------------------------------------------------------- | ram_type | Block | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 8192-word x 8-bit | | | mode | write-first | | | clkA | connected to signal | rise | | weA | connected to internal node | high | | addrA | connected to signal > | | | diA | connected to signal | | ----------------------------------------------------------------------- | optimization | speed | | ----------------------------------------------------------------------- | Port B | | aspect ratio | 8192-word x 8-bit | | | mode | write-first | | | clkB | connected to signal | rise | | addrB | connected to signal | | | doB | connected to signal | | ----------------------------------------------------------------------- | optimization | speed | | ----------------------------------------------------------------------- INFO:Xst:3226 - The RAM will be implemented as a BLOCK RAM, absorbing the following register(s): ----------------------------------------------------------------------- | ram_type | Block | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 8192-word x 8-bit | | | mode | write-first | | | clkA | connected to signal | rise | | weA | connected to internal node | high | | addrA | connected to signal > | | | diA | connected to signal | | ----------------------------------------------------------------------- | optimization | speed | | ----------------------------------------------------------------------- | Port B | | aspect ratio | 8192-word x 8-bit | | | mode | write-first | | | clkB | connected to signal | rise | | addrB | connected to signal | | | doB | connected to signal | | ----------------------------------------------------------------------- | optimization | speed | | ----------------------------------------------------------------------- INFO:Xst:3226 - The RAM will be implemented as a BLOCK RAM, absorbing the following register(s): ----------------------------------------------------------------------- | ram_type | Block | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 8192-word x 8-bit | | | mode | write-first | | | clkA | connected to signal | rise | | weA | connected to internal node | high | | addrA | connected to signal > | | | diA | connected to signal | | ----------------------------------------------------------------------- | optimization | speed | | ----------------------------------------------------------------------- | Port B | | aspect ratio | 8192-word x 8-bit | | | mode | write-first | | | clkB | connected to signal | rise | | addrB | connected to signal | | | doB | connected to signal | | ----------------------------------------------------------------------- | optimization | speed | | ----------------------------------------------------------------------- Unit synthesized (advanced). Synthesizing (advanced) Unit . INFO:Xst:3226 - The RAM will be implemented as a BLOCK RAM, absorbing the following register(s): ----------------------------------------------------------------------- | ram_type | Block | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 8192-word x 32-bit | | | mode | write-first | | | clkA | connected to signal | rise | | weA | connected to signal | high | | addrA | connected to signal | | | diA | connected to signal | | ----------------------------------------------------------------------- | optimization | speed | | ----------------------------------------------------------------------- | Port B | | aspect ratio | 8192-word x 32-bit | | | mode | write-first | | | clkB | connected to signal | rise | | addrB | connected to signal > | | | doB | connected to signal | | ----------------------------------------------------------------------- | optimization | speed | | ----------------------------------------------------------------------- Unit synthesized (advanced). Synthesizing (advanced) Unit . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . Unit synthesized (advanced). Synthesizing (advanced) Unit . The following registers are absorbed into counter : 1 register on signal . Unit synthesized (advanced). WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . ========================================================================= Advanced HDL Synthesis Report Macro Statistics # RAMs : 7 16x16-bit single-port distributed Read Only RAM : 1 4096x8-bit dual-port block RAM : 1 8192x32-bit dual-port block RAM : 1 8192x8-bit dual-port block RAM : 4 # Adders/Subtractors : 26 1-bit adder : 2 12-bit adder : 2 13-bit adder : 3 16-bit adder : 2 24-bit adder : 1 3-bit adder : 1 32-bit adder : 1 4-bit adder : 4 4-bit subtractor : 1 5-bit adder : 1 6-bit adder : 2 7-bit adder : 1 8-bit adder : 1 9-bit adder : 4 # Counters : 57 11-bit up counter : 2 12-bit up counter : 3 16-bit up counter : 22 2-bit up counter : 2 20-bit up counter : 2 24-bit up counter : 1 27-bit up counter : 1 28-bit up counter : 2 3-bit up counter : 3 32-bit up counter : 2 4-bit up counter : 4 5-bit up counter : 2 6-bit down counter : 1 6-bit up counter : 2 8-bit down counter : 1 8-bit up counter : 5 9-bit up counter : 2 # Registers : 5800 Flip-Flops : 5800 # Comparators : 33 13-bit comparator equal : 1 16-bit comparator equal : 17 16-bit comparator greater : 1 27-bit comparator equal : 1 6-bit comparator equal : 1 8-bit comparator not equal : 11 9-bit comparator equal : 1 # Multiplexers : 1756 1-bit 16-to-1 multiplexer : 46 1-bit 2-to-1 multiplexer : 1234 1-bit 3-to-1 multiplexer : 2 1-bit 4-to-1 multiplexer : 128 1-bit 8-to-1 multiplexer : 14 10-bit 2-to-1 multiplexer : 1 112-bit 2-to-1 multiplexer : 1 12-bit 2-to-1 multiplexer : 4 128-bit 2-to-1 multiplexer : 6 13-bit 2-to-1 multiplexer : 34 13-bit 3-to-1 multiplexer : 1 16-bit 16-to-1 multiplexer : 1 16-bit 2-to-1 multiplexer : 60 16-bit 4-to-1 multiplexer : 1 17-bit 2-to-1 multiplexer : 1 2-bit 2-to-1 multiplexer : 10 2-bit 3-to-1 multiplexer : 1 24-bit 2-to-1 multiplexer : 4 28-bit 2-to-1 multiplexer : 1 3-bit 2-to-1 multiplexer : 8 3-bit 8-to-1 multiplexer : 1 32-bit 16-to-1 multiplexer : 1 32-bit 2-to-1 multiplexer : 33 32-bit 4-to-1 multiplexer : 2 34-bit 2-to-1 multiplexer : 1 36-bit 2-to-1 multiplexer : 1 38-bit 2-to-1 multiplexer : 2 4-bit 2-to-1 multiplexer : 32 4-bit 4-to-1 multiplexer : 1 42-bit 2-to-1 multiplexer : 3 45-bit 2-to-1 multiplexer : 2 48-bit 2-to-1 multiplexer : 4 5-bit 2-to-1 multiplexer : 13 6-bit 2-to-1 multiplexer : 11 7-bit 2-to-1 multiplexer : 3 8-bit 2-to-1 multiplexer : 72 8-bit 4-to-1 multiplexer : 2 9-bit 2-to-1 multiplexer : 14 # FSMs : 2 # Xors : 178 1-bit xor13 : 1 1-bit xor2 : 108 1-bit xor3 : 24 1-bit xor4 : 22 1-bit xor5 : 13 1-bit xor6 : 6 16-bit xor2 : 1 16-bit xor4 : 1 8-bit xor2 : 2 ========================================================================= ========================================================================= * Low Level Synthesis * ========================================================================= WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. -------------------------- State | Encoding -------------------------- st_idle | 000 st_hdr | 001 st_addr | 010 st_bus_cycle | 011 st_rmw_1 | 100 st_rmw_2 | 101 -------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with gray encoding. ------------------------ State | Encoding ------------------------ st_idle | 000 st_first | 001 st_hdr | 011 st_prebody | 010 st_body | 111 st_done | 110 st_gap | 101 ------------------------ WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. INFO:Xst:1901 - Instance i_DCM_TTCclk in unit i_DCM_TTCclk of type PLL_BASE has been replaced by PLL_ADV INFO:Xst:1901 - Instance i_TTCclk4x in unit AMC13_T2 of type BUFGCE has been replaced by BUFGMUX INFO:Xst:1901 - Instance i_TTCclk8x in unit AMC13_T2 of type BUFGCE has been replaced by BUFGMUX WARNING:Xst:3002 - This design contains one or more registers/latches that are directly incompatible with the Spartan6 architecture. The two primary causes of this is either a register or latch described with both an asynchronous set and asynchronous reset, or a register or latch described with an asynchronous set or reset which however has an initialization value of the opposite polarity (i.e. asynchronous reset with an initialization value of 1). While this circuit can be built, it creates a sub-optimal implementation in terms of area, power and performance. For a more optimal implementation Xilinx highly recommends one of the following: 1) Remove either the set or reset from all registers and latches if not needed for required functionality 2) Modify the code in order to produce a synchronous set and/or reset (both is preferred) 3) Ensure all registers have the same initialization value as the described asynchronous set or reset polarity 4) Use the -async_to_sync option to transform the asynchronous set/reset to synchronous operation (timing simulation highly recommended when using this option) Please refer to http://www.xilinx.com search string "Spartan6 asynchronous set/reset" for more details. List of register instances with asynchronous set or reset and opposite initialization value: DNA_cntr_5 in unit DNA_cntr_4 in unit DNA_cntr_3 in unit DNA_cntr_0 in unit CRC_23 in unit CRC_22 in unit CRC_21 in unit CRC_20 in unit CRC_19 in unit CRC_18 in unit CRC_17 in unit CRC_16 in unit CRC_15 in unit CRC_14 in unit CRC_13 in unit CRC_12 in unit CRC_11 in unit CRC_10 in unit CRC_9 in unit CRC_8 in unit CRC_7 in unit CRC_6 in unit CRC_5 in unit CRC_4 in unit CRC_3 in unit CRC_2 in unit CRC_1 in unit CRC_0 in unit load_DNA_1 in unit load_DNA_0 in unit TTC_data_1 in unit ICAP_Di_15 in unit ICAP_Di_14 in unit ICAP_Di_13 in unit ICAP_Di_12 in unit ICAP_Di_11 in unit ICAP_Di_10 in unit ICAP_Di_9 in unit ICAP_Di_8 in unit ICAP_Di_7 in unit ICAP_Di_6 in unit ICAP_Di_5 in unit ICAP_Di_4 in unit ICAP_Di_3 in unit ICAP_Di_2 in unit ICAP_Di_1 in unit ICAP_Di_0 in unit Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . Mapping all equations... Building and optimizing final netlist ... Found area constraint ratio of 100 (+ 5) on block AMC13_T2, actual ratio is 12. WARNING:Xst:1426 - The value init of the FF/Latch TTC_data_1_LD hinder the constant cleaning in the block AMC13_T2. You should achieve better results by setting this init to 1. WARNING:Xst:1426 - The value init of the FF/Latch load_DNA_0_LD hinder the constant cleaning in the block AMC13_T2. You should achieve better results by setting this init to 1. WARNING:Xst:1426 - The value init of the FF/Latch CRC_0_LD hinder the constant cleaning in the block AMC13_T2. You should achieve better results by setting this init to 1. WARNING:Xst:1426 - The value init of the FF/Latch ICAP_Di_0_LD hinder the constant cleaning in the block i_reboot. You should achieve better results by setting this init to 1. FlipFlop i_SPI_if/addr_0 has been replicated 1 time(s) FlipFlop i_SPI_if/addr_1 has been replicated 1 time(s) FlipFlop i_SPI_if/addr_2 has been replicated 1 time(s) Final Macro Processing ... ========================================================================= Final Register Report Macro Statistics # Registers : 5943 Flip-Flops : 5943 ========================================================================= ========================================================================= * Partition Report * ========================================================================= Partition Implementation Status ------------------------------- No Partitions were found in this design. ------------------------------- ========================================================================= * Design Summary * ========================================================================= Top Level Output File Name : AMC13_T2.ngc Primitive and Black Box Usage: ------------------------------ # BELS : 7991 # GND : 43 # INV : 127 # LUT1 : 565 # LUT2 : 1104 # LUT3 : 1016 # LUT4 : 614 # LUT5 : 782 # LUT6 : 1903 # MUXCY : 872 # MUXF7 : 105 # MUXF8 : 33 # ROM32X1 : 1 # VCC : 48 # XORCY : 778 # FlipFlops/Latches : 6208 # FD : 2699 # FD_1 : 2 # FDC : 59 # FDC_1 : 1 # FDCE : 362 # FDE : 1662 # FDP : 22 # FDPE : 29 # FDR : 462 # FDR_1 : 7 # FDRE : 818 # FDS : 16 # FDSE : 38 # IDDR2 : 1 # LD : 4 # ODDR2 : 26 # RAMS : 59 # RAM32X1D : 17 # RAMB16BWER : 41 # RAMB8BWER : 1 # Shift Registers : 162 # SRL16 : 4 # SRL16E : 18 # SRLC16E : 13 # SRLC32E : 127 # Clock Buffers : 14 # BUFG : 12 # BUFGMUX : 2 # IO Buffers : 89 # BUFIO2 : 1 # IBUF : 22 # IBUFDS : 14 # IBUFG : 2 # IBUFGDS : 4 # IOBUF : 4 # OBUF : 26 # OBUFDS : 1 # OBUFT : 3 # OBUFTDS : 12 # DCMs : 5 # DCM_SP : 5 # GigabitIOs : 1 # GTPA1_DUAL : 1 # Others : 3 # DNA_PORT : 1 # ICAP_SPARTAN6 : 1 # PLL_ADV : 1 Device utilization summary: --------------------------- Selected Device : 6slx45tfgg484-2 Slice Logic Utilization: Number of Slice Registers: 6207 out of 54576 11% Number of Slice LUTs: 6308 out of 27288 23% Number used as Logic: 6111 out of 27288 22% Number used as Memory: 197 out of 6408 3% Number used as RAM: 34 Number used as ROM: 1 Number used as SRL: 162 Slice Logic Distribution: Number of LUT Flip Flop pairs used: 8693 Number with an unused Flip Flop: 2486 out of 8693 28% Number with an unused LUT: 2385 out of 8693 27% Number of fully used LUT-FF pairs: 3822 out of 8693 43% Number of unique control sets: 259 IO Utilization: Number of IOs: 123 Number of bonded IOBs: 119 out of 296 40% IOB Flip Flops/Latches: 1 Specific Feature Utilization: Number of Block RAM/FIFO: 42 out of 116 36% Number using Block RAM only: 42 Number of BUFG/BUFGCTRLs: 14 out of 16 87% Number of PLL_ADVs: 1 out of 4 25% --------------------------- Partition Resource Summary: --------------------------- No Partitions were found in this design. --------------------------- ========================================================================= Timing Report NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE. FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT GENERATED AFTER PLACE-and-ROUTE. Clock Information: ------------------ ---------------------------------------------------------------------------+-------------------------------+-------+ Clock Signal | Clock buffer(FF name) | Load | ---------------------------------------------------------------------------+-------------------------------+-------+ i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/GTPCLKOUT1_OUT<0> | DCM_SP:CLKDV | 702 | i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/GTPCLKOUT1_OUT<0> | DCM_SP:CLK0 | 4407 | i_DCM_TTCclk/CLKOUT0 | BUFG | 739 | i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/GTPCLKOUT1_OUT<0> | DCM_SP:CLKFX | 42 | DNA_div_4 | BUFG | 71 | i_DCM_TTCclk/CLKOUT1 | BUFGMUX | 22 | TTC_REFCLK | IBUFG | 1 | TTC_CLK_p<0> | DCM_SP:CLK0 | 12 | TTC_CLK_p<1> | DCM_SP:CLK0 | 12 | TTC_CLK_p<2> | DCM_SP:CLK0 | 12 | TTC_CLK_p<3> | DCM_SP:CLK0 | 12 | i_DCM_TTCclk/CLKOUT2 | BUFGMUX | 322 | FSIO_SCK | IBUFG+BUFG | 137 | GbEGTPreset(GbEGTPreset1:O) | NONE(*)(i_reboot/ICAP_Di_0_LD)| 1 | i_DCM_TTCclk/LOCKED | NONE(TTC_data_1_LD) | 1 | sysclk_dcm_locked_reprogV6_OR_106_o(sysclk_dcm_locked_reprogV6_OR_106_o1:O)| NONE(*)(CRC_0_LD) | 1 | ---------------------------------------------------------------------------+-------------------------------+-------+ (*) These 2 clock signal(s) are generated by combinatorial logic, and XST is not able to identify which are the primary clock signals. Please use the CLOCK_SIGNAL constraint to specify the clock signal(s) generated by combinatorial logic. INFO:Xst:2169 - HDL ADVISOR - Some clock signals were not automatically buffered by XST with BUFG/BUFR resources. Please use the buffer_type constraint in order to insert these buffers to the clock signals to help prevent skew problems. Asynchronous Control Signals Information: ---------------------------------------- No asynchronous control signals found in this design Timing Summary: --------------- Speed Grade: -2 Minimum period: 10.940ns (Maximum Frequency: 91.408MHz) Minimum input arrival time before clock: 8.989ns Maximum output required time after clock: 6.501ns Maximum combinational path delay: 6.482ns Timing Details: --------------- All values displayed in nanoseconds (ns) ========================================================================= Timing constraint: Default period analysis for Clock 'i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/GTPCLKOUT1_OUT<0>' Clock period: 10.132ns (frequency: 98.700MHz) Total number of paths / destination ports: 91353 / 9872 ------------------------------------------------------------------------- Delay: 10.132ns (Levels of Logic = 7) Source: i_GTP_if/i_mac/emacclientrxdvld (FF) Destination: i_ipbus/udp_if/status_buffer/history_0 (FF) Source Clock: i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/GTPCLKOUT1_OUT<0> rising Destination Clock: i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/GTPCLKOUT1_OUT<0> rising Data Path: i_GTP_if/i_mac/emacclientrxdvld to i_ipbus/udp_if/status_buffer/history_0 Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) ---------------------------------------- ------------ FD:C->Q 273 0.525 2.434 emacclientrxdvld (emacclientrxdvld) end scope: 'i_GTP_if/i_mac:emacclientrxdvld' end scope: 'i_GTP_if:GbErxdvld' begin scope: 'i_ipbus:mac_rx_valid' begin scope: 'i_ipbus/udp_if:mac_rx_valid' begin scope: 'i_ipbus/udp_if/rx_reset_block:mac_rx_valid' LUT2:I1->O 1145 0.254 2.638 rx_reset1 (rx_reset) end scope: 'i_ipbus/udp_if/rx_reset_block:rx_reset' begin scope: 'i_ipbus/udp_if/status_buffer:rx_reset' LUT6:I5->O 12 0.254 1.069 Mmux_history_block.event_data[7]_history_block.event_data[7]_MUX_1266_o141 (rst_ipb_125_rst_ipb_125_MUX_1270_o) LUT3:I2->O 112 0.254 2.245 _n02111 (_n0211) FDRE:R 0.459 history_0 ---------------------------------------- Total 10.132ns (1.746ns logic, 8.386ns route) (17.2% logic, 82.8% route) ========================================================================= Timing constraint: Default period analysis for Clock 'i_DCM_TTCclk/CLKOUT0' Clock period: 7.970ns (frequency: 125.471MHz) Total number of paths / destination ports: 6104 / 1469 ------------------------------------------------------------------------- Delay: 3.985ns (Levels of Logic = 2) Source: i_twinmux/ramb_st.ramb18_dp_st.ram18_st (RAM) Destination: T3_SCK_o (FF) Source Clock: i_DCM_TTCclk/CLKOUT0 falling Destination Clock: i_DCM_TTCclk/CLKOUT0 rising Data Path: i_twinmux/ramb_st.ramb18_dp_st.ram18_st to T3_SCK_o Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) ---------------------------------------- ------------ RAMB16BWER:CLKA->DOA0 6 2.100 0.875 ramb_st.ramb18_dp_st.ram18_st (DOA<0>) end scope: 'i_twinmux:DOA<0>' INV:I->O 1 0.255 0.681 twinmux[0]_INV_652_o1_INV_0 (twinmux[0]_INV_652_o) FD:D 0.074 T3_SCK_o ---------------------------------------- Total 3.985ns (2.429ns logic, 1.556ns route) (61.0% logic, 39.0% route) ========================================================================= Timing constraint: Default period analysis for Clock 'DNA_div_4' Clock period: 8.049ns (frequency: 124.245MHz) Total number of paths / destination ports: 194 / 136 ------------------------------------------------------------------------- Delay: 4.024ns (Levels of Logic = 1) Source: shift_DNA (FF) Destination: DNA_0 (FF) Source Clock: DNA_div_4 falling Destination Clock: DNA_div_4 rising Data Path: shift_DNA to DNA_0 Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) ---------------------------------------- ------------ FDC_1:C->Q 12 0.525 1.069 shift_DNA (shift_DNA) LUT2:I1->O 57 0.254 1.874 _n1607_inv1 (_n1607_inv) FDE:CE 0.302 DNA_0 ---------------------------------------- Total 4.024ns (1.081ns logic, 2.943ns route) (26.9% logic, 73.1% route) ========================================================================= Timing constraint: Default period analysis for Clock 'i_DCM_TTCclk/CLKOUT1' Clock period: 4.482ns (frequency: 223.115MHz) Total number of paths / destination ports: 131 / 45 ------------------------------------------------------------------------- Delay: 4.482ns (Levels of Logic = 2) Source: TTCclkPhase_3 (FF) Destination: buffer_we_0 (FF) Source Clock: i_DCM_TTCclk/CLKOUT1 rising Destination Clock: i_DCM_TTCclk/CLKOUT1 rising Data Path: TTCclkPhase_3 to buffer_we_0 Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) ---------------------------------------- ------------ FD:C->Q 5 0.525 1.069 TTCclkPhase_3 (TTCclkPhase_3) LUT3:I0->O 1 0.235 1.137 TTCclkPhase[3]_twinmux[0]_AND_483_o<3>1 (TTCclkPhase[3]_twinmux[0]_AND_483_o) LUT6:I0->O 4 0.254 0.803 _n1438 (_n1438) FDR:R 0.459 buffer_we_0 ---------------------------------------- Total 4.482ns (1.473ns logic, 3.009ns route) (32.9% logic, 67.1% route) ========================================================================= Timing constraint: Default period analysis for Clock 'i_DCM_TTCclk/CLKOUT2' Clock period: 2.030ns (frequency: 492.611MHz) Total number of paths / destination ports: 266 / 168 ------------------------------------------------------------------------- Delay: 2.030ns (Levels of Logic = 2) Source: g_trig_Twinmux[11].i_trig_data/d_7 (FF) Destination: g_trig_Twinmux[11].i_trig_data/o_0 (FF) Source Clock: i_DCM_TTCclk/CLKOUT2 rising Destination Clock: i_DCM_TTCclk/CLKOUT2 rising Data Path: g_trig_Twinmux[11].i_trig_data/d_7 to g_trig_Twinmux[11].i_trig_data/o_0 Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) ---------------------------------------- ------------ FD:C->Q 2 0.525 1.002 d_7 (d<7>) LUT6:I2->O 1 0.254 0.000 Mmux_dl[7]_d[8]_Mux_0_o_3 (Mmux_dl[7]_d[8]_Mux_0_o_3) MUXF7:I1->O 1 0.175 0.000 Mmux_dl[7]_d[8]_Mux_0_o_2_f7 (dl[7]_d[8]_Mux_0_o) FD:D 0.074 o_0 ---------------------------------------- Total 2.030ns (1.028ns logic, 1.002ns route) (50.6% logic, 49.4% route) ========================================================================= Timing constraint: Default period analysis for Clock 'FSIO_SCK' Clock period: 10.940ns (frequency: 91.408MHz) Total number of paths / destination ports: 3652 / 257 ------------------------------------------------------------------------- Delay: 5.470ns (Levels of Logic = 3) Source: i_SPI_if/addr_7 (FF) Destination: i_SPI_if/sr_out_1 (FF) Source Clock: FSIO_SCK rising Destination Clock: FSIO_SCK falling Data Path: i_SPI_if/addr_7 to i_SPI_if/sr_out_1 Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) ---------------------------------------- ------------ FDE:C->Q 4 0.525 1.259 addr_7 (addr_7) LUT6:I0->O 2 0.254 0.834 out1 (out) LUT2:I0->O 2 0.250 0.726 out3 (addr[15]_reduce_or_27_o) LUT6:I5->O 7 0.254 0.909 _n03561 (_n0356) FDR_1:R 0.459 sr_out_1 ---------------------------------------- Total 5.470ns (1.742ns logic, 3.728ns route) (31.8% logic, 68.2% route) ========================================================================= Timing constraint: Default OFFSET IN BEFORE for Clock 'i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/GTPCLKOUT1_OUT<0>' Total number of paths / destination ports: 248 / 152 ------------------------------------------------------------------------- Offset: 8.989ns (Levels of Logic = 12) Source: SN_IN<9> (PAD) Destination: i_ipbus/udp_if/ipbus_tx_ram/Mram_ram13 (RAM) Destination Clock: i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/GTPCLKOUT1_OUT<0> rising 0.2X Data Path: SN_IN<9> to i_ipbus/udp_if/ipbus_tx_ram/Mram_ram13 Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) ---------------------------------------- ------------ IBUF:I->O 14 1.328 1.235 SN_IN_9_IBUF (SN_IN_9_IBUF) LUT2:I0->O 5 0.250 0.841 Mmux_SN<8>11 (SN<8>) LUT6:I5->O 1 0.254 0.682 Mmux_ipb_master_in_ipb_rdata363 (Mmux_ipb_master_in_ipb_rdata362) LUT6:I5->O 1 0.254 0.790 Mmux_ipb_master_in_ipb_rdata364 (Mmux_ipb_master_in_ipb_rdata363) LUT6:I4->O 2 0.250 0.834 Mmux_ipb_master_in_ipb_rdata366 (ipb_master_in_ipb_rdata<24>) begin scope: 'i_ipbus:ipb_in_ipb_rdata<24>' begin scope: 'i_ipbus/trans:ipb_in_ipb_rdata<24>' begin scope: 'i_ipbus/trans/sm:ipb_in_ipb_rdata<24>' LUT5:I3->O 1 0.250 0.790 mux10161 (tx_data<24>) end scope: 'i_ipbus/trans/sm:tx_data<24>' begin scope: 'i_ipbus/trans/iface:tx_data<24>' LUT6:I4->O 1 0.250 0.681 Mmux_trans_out_wdata171 (trans_out_wdata<24>) end scope: 'i_ipbus/trans/iface:trans_out_wdata<24>' end scope: 'i_ipbus/trans:trans_out_wdata<24>' begin scope: 'i_ipbus/udp_if:wdata<24>' begin scope: 'i_ipbus/udp_if/ipbus_tx_ram:tx_dia<24>' RAMB16BWER:DIA0 0.300 Mram_ram13 ---------------------------------------- Total 8.989ns (3.136ns logic, 5.853ns route) (34.9% logic, 65.1% route) ========================================================================= Timing constraint: Default OFFSET IN BEFORE for Clock 'DNA_div_4' Total number of paths / destination ports: 1 / 1 ------------------------------------------------------------------------- Offset: 0.755ns (Levels of Logic = 0) Source: i_DNA_PORT:DOUT (PAD) Destination: DNA_0 (FF) Destination Clock: DNA_div_4 rising Data Path: i_DNA_PORT:DOUT to DNA_0 Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) ---------------------------------------- ------------ DNA_PORT:DOUT 1 0.000 0.681 i_DNA_PORT (DNA_out) FDE:D 0.074 DNA_0 ---------------------------------------- Total 0.755ns (0.074ns logic, 0.681ns route) (9.8% logic, 90.2% route) ========================================================================= Timing constraint: Default OFFSET IN BEFORE for Clock 'i_DCM_TTCclk/CLKOUT0' Total number of paths / destination ports: 2 / 1 ------------------------------------------------------------------------- Offset: 2.009ns (Levels of Logic = 1) Source: TTCdata_p (PAD) Destination: i_TTC_data (FF) Destination Clock: i_DCM_TTCclk/CLKOUT0 rising Data Path: TTCdata_p to i_TTC_data Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) ---------------------------------------- ------------ IBUFDS:I->O 1 1.328 0.681 i_TTCdata (TTCdata_in) IDDR2:D 0.000 i_TTC_data ---------------------------------------- Total 2.009ns (1.328ns logic, 0.681ns route) (66.1% logic, 33.9% route) ========================================================================= Timing constraint: Default OFFSET IN BEFORE for Clock 'i_DCM_TTCclk/CLKOUT2' Total number of paths / destination ports: 26 / 14 ------------------------------------------------------------------------- Offset: 3.063ns (Levels of Logic = 3) Source: T3_TCDS_aux1 (PAD) Destination: i_TRIG0/d_0 (FF) Destination Clock: i_DCM_TTCclk/CLKOUT2 rising Data Path: T3_TCDS_aux1 to i_TRIG0/d_0 Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) ---------------------------------------- ------------ IBUF:I->O 2 1.328 0.725 T3_TCDS_aux1_IBUF (T3_TCDS_aux1_IBUF) begin scope: 'i_TRIG0:din' INV:I->O 1 0.255 0.681 Mxor_din_polarity_din_XOR_576_o_xo<0>1_INV_0 (din_polarity_din_XOR_576_o) FD:D 0.074 d_0 ---------------------------------------- Total 3.063ns (1.657ns logic, 1.406ns route) (54.1% logic, 45.9% route) ========================================================================= Timing constraint: Default OFFSET IN BEFORE for Clock 'FSIO_SCK' Total number of paths / destination ports: 61 / 41 ------------------------------------------------------------------------- Offset: 6.501ns (Levels of Logic = 8) Source: SN_IN<9> (PAD) Destination: i_SPI_if/sr_out_1 (FF) Destination Clock: FSIO_SCK falling Data Path: SN_IN<9> to i_SPI_if/sr_out_1 Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) ---------------------------------------- ------------ IBUF:I->O 14 1.328 1.235 SN_IN_9_IBUF (SN_IN_9_IBUF) LUT2:I0->O 5 0.250 1.117 Mmux_SN<8>11 (SN<8>) begin scope: 'i_SPI_if:SN<8>' LUT4:I0->O 2 0.254 0.726 Mmux_IPADDR_i321 (IPADDR<9>) LUT6:I5->O 1 0.254 0.000 mux1_4 (mux1_4) MUXF7:I1->O 1 0.175 0.000 mux1_3_f7 (mux1_3_f7) MUXF8:I1->O 1 0.152 0.682 mux1_2_f8 (addr[3]_GND_15_o_wide_mux_55_OUT<1>1) LUT6:I5->O 1 0.254 0.000 sr_out_1_glue_set (sr_out_1_glue_set) FDR_1:D 0.074 sr_out_1 ---------------------------------------- Total 6.501ns (2.741ns logic, 3.760ns route) (42.2% logic, 57.8% route) ========================================================================= Timing constraint: Default OFFSET OUT AFTER for Clock 'i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/GTPCLKOUT1_OUT<0>' Total number of paths / destination ports: 69 / 40 ------------------------------------------------------------------------- Offset: 6.501ns (Levels of Logic = 2) Source: i_V6PROG_B (FF) Destination: V6_PROG_B (PAD) Source Clock: i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/GTPCLKOUT1_OUT<0> rising 0.2X Data Path: i_V6PROG_B to V6_PROG_B Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) ---------------------------------------- ------------ SRL16E:CLK->Q 4 1.746 0.912 i_V6PROG_B (prog_V6_n) LUT2:I0->O 1 0.250 0.681 V6_PROG_B1 (V6_PROG_B_OBUF) OBUF:I->O 2.912 V6_PROG_B_OBUF (V6_PROG_B) ---------------------------------------- Total 6.501ns (4.908ns logic, 1.593ns route) (75.5% logic, 24.5% route) ========================================================================= Timing constraint: Default OFFSET OUT AFTER for Clock 'FSIO_SCK' Total number of paths / destination ports: 1 / 1 ------------------------------------------------------------------------- Offset: 5.158ns (Levels of Logic = 3) Source: i_SPI_if/sr_out_7 (FF) Destination: FSIO_MISO (PAD) Source Clock: FSIO_SCK falling Data Path: i_SPI_if/sr_out_7 to FSIO_MISO Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) ---------------------------------------- ------------ FDR_1:C->Q 1 0.525 0.790 sr_out_7 (sr_out_7) end scope: 'i_SPI_if:MISO' LUT3:I1->O 1 0.250 0.681 Mmux_Z_11_o_S6_MISO_MUX_7_o11 (FSIO_MISO_OBUFT) OBUFT:I->O 2.912 FSIO_MISO_OBUFT (FSIO_MISO) ---------------------------------------- Total 5.158ns (3.687ns logic, 1.471ns route) (71.5% logic, 28.5% route) ========================================================================= Timing constraint: Default OFFSET OUT AFTER for Clock 'i_DCM_TTCclk/CLKOUT0' Total number of paths / destination ports: 1 / 1 ------------------------------------------------------------------------- Offset: 4.118ns (Levels of Logic = 1) Source: T3_SCK_o (FF) Destination: T3_SCK (PAD) Source Clock: i_DCM_TTCclk/CLKOUT0 rising Data Path: T3_SCK_o to T3_SCK Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) ---------------------------------------- ------------ FD:C->Q 1 0.525 0.681 T3_SCK_o (T3_SCK_o) OBUFT:I->O 2.912 T3_SCK_OBUFT (T3_SCK) ---------------------------------------- Total 4.118ns (3.437ns logic, 0.681ns route) (83.5% logic, 16.5% route) ========================================================================= Timing constraint: Default OFFSET OUT AFTER for Clock 'DNA_div_4' Total number of paths / destination ports: 3 / 2 ------------------------------------------------------------------------- Offset: 2.290ns (Levels of Logic = 1) Source: load_DNA_1_C_1 (FF) Destination: i_DNA_PORT:READ (PAD) Source Clock: DNA_div_4 rising Data Path: load_DNA_1_C_1 to i_DNA_PORT:READ Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) ---------------------------------------- ------------ FD:C->Q 1 0.525 0.790 load_DNA_1_C_1 (load_DNA_1_C_1) LUT3:I1->O 2 0.250 0.725 load_DNA_11 (load_DNA_1) DNA_PORT:READ 0.000 i_DNA_PORT ---------------------------------------- Total 2.290ns (0.775ns logic, 1.515ns route) (33.8% logic, 66.2% route) ========================================================================= Timing constraint: Default OFFSET OUT AFTER for Clock 'GbEGTPreset' Total number of paths / destination ports: 16 / 16 ------------------------------------------------------------------------- Offset: 2.907ns (Levels of Logic = 1) Source: i_reboot/ICAP_Di_0_LD (LATCH) Destination: i_reboot/ICAP_SPARTAN6_inst:I15 (PAD) Source Clock: GbEGTPreset falling Data Path: i_reboot/ICAP_Di_0_LD to i_reboot/ICAP_SPARTAN6_inst:I15 Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) ---------------------------------------- ------------ LD:G->Q 16 0.581 1.410 ICAP_Di_0_LD (ICAP_Di_0_LD) LUT3:I0->O 1 0.235 0.681 ICAP_Di_01 (ICAP_Di_0) ICAP_SPARTAN6:I0 0.000 ICAP_SPARTAN6_inst ---------------------------------------- Total 2.907ns (0.816ns logic, 2.091ns route) (28.1% logic, 71.9% route) ========================================================================= Timing constraint: Default path analysis Total number of paths / destination ports: 38 / 34 ------------------------------------------------------------------------- Delay: 6.482ns (Levels of Logic = 3) Source: FSIO_CS_B<0> (PAD) Destination: FSIO_MISO (PAD) Data Path: FSIO_CS_B<0> to FSIO_MISO Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) ---------------------------------------- ------------ IOBUF:IO->O 13 1.328 1.326 g_FSIO_CS_B[0].i_FSIO_CS_B (FSIO_CS_I<0>) LUT3:I0->O 1 0.235 0.681 Mmux_Z_11_o_S6_MISO_MUX_7_o11 (FSIO_MISO_OBUFT) OBUFT:I->O 2.912 FSIO_MISO_OBUFT (FSIO_MISO) ---------------------------------------- Total 6.482ns (4.475ns logic, 2.007ns route) (69.0% logic, 31.0% route) ========================================================================= Cross Clock Domains Report: -------------------------- Clock to Setup on destination clock DNA_div_4 ---------------+---------+---------+---------+---------+ | Src:Rise| Src:Fall| Src:Rise| Src:Fall| Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| ---------------+---------+---------+---------+---------+ DNA_div_4 | 1.535| 4.024| 3.089| | ---------------+---------+---------+---------+---------+ Clock to Setup on destination clock FSIO_SCK ---------------+---------+---------+---------+---------+ | Src:Rise| Src:Fall| Src:Rise| Src:Fall| Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| ---------------+---------+---------+---------+---------+ FSIO_SCK | 7.244| | 5.470| | ---------------+---------+---------+---------+---------+ Clock to Setup on destination clock TTC_CLK_p<0> ----------------------------------------------------------+---------+---------+---------+---------+ | Src:Rise| Src:Fall| Src:Rise| Src:Fall| Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| ----------------------------------------------------------+---------+---------+---------+---------+ i_DCM_TTCclk/CLKOUT0 | | 1.593| 1.593| | i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/GTPCLKOUT1_OUT<0>| 2.412| | 2.412| | ----------------------------------------------------------+---------+---------+---------+---------+ Clock to Setup on destination clock TTC_CLK_p<1> ----------------------------------------------------------+---------+---------+---------+---------+ | Src:Rise| Src:Fall| Src:Rise| Src:Fall| Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| ----------------------------------------------------------+---------+---------+---------+---------+ i_DCM_TTCclk/CLKOUT0 | | 1.593| 1.593| | i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/GTPCLKOUT1_OUT<0>| 2.412| | 2.412| | ----------------------------------------------------------+---------+---------+---------+---------+ Clock to Setup on destination clock TTC_CLK_p<2> ----------------------------------------------------------+---------+---------+---------+---------+ | Src:Rise| Src:Fall| Src:Rise| Src:Fall| Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| ----------------------------------------------------------+---------+---------+---------+---------+ i_DCM_TTCclk/CLKOUT0 | | 1.593| 1.593| | i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/GTPCLKOUT1_OUT<0>| 2.412| | 2.412| | ----------------------------------------------------------+---------+---------+---------+---------+ Clock to Setup on destination clock TTC_CLK_p<3> ----------------------------------------------------------+---------+---------+---------+---------+ | Src:Rise| Src:Fall| Src:Rise| Src:Fall| Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| ----------------------------------------------------------+---------+---------+---------+---------+ i_DCM_TTCclk/CLKOUT0 | | 1.593| 1.593| | i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/GTPCLKOUT1_OUT<0>| 2.412| | 2.412| | ----------------------------------------------------------+---------+---------+---------+---------+ Clock to Setup on destination clock i_DCM_TTCclk/CLKOUT0 ----------------------------------------------------------+---------+---------+---------+---------+ | Src:Rise| Src:Fall| Src:Rise| Src:Fall| Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| ----------------------------------------------------------+---------+---------+---------+---------+ i_DCM_TTCclk/CLKOUT0 | 6.105| 3.985| | | i_DCM_TTCclk/CLKOUT2 | | | 1.606| | i_DCM_TTCclk/LOCKED | 2.143| | | | i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/GTPCLKOUT1_OUT<0>| 4.878| | | | ----------------------------------------------------------+---------+---------+---------+---------+ Clock to Setup on destination clock i_DCM_TTCclk/CLKOUT1 ----------------------------------------------------------+---------+---------+---------+---------+ | Src:Rise| Src:Fall| Src:Rise| Src:Fall| Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| ----------------------------------------------------------+---------+---------+---------+---------+ i_DCM_TTCclk/CLKOUT0 | 1.723| 5.883| | | i_DCM_TTCclk/CLKOUT1 | 4.482| | | | i_DCM_TTCclk/CLKOUT2 | 1.506| | | | i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/GTPCLKOUT1_OUT<0>| 1.324| | | | ----------------------------------------------------------+---------+---------+---------+---------+ Clock to Setup on destination clock i_DCM_TTCclk/CLKOUT2 ----------------------------------------------------------+---------+---------+---------+---------+ | Src:Rise| Src:Fall| Src:Rise| Src:Fall| Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| ----------------------------------------------------------+---------+---------+---------+---------+ i_DCM_TTCclk/CLKOUT2 | 2.030| | | | i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/GTPCLKOUT1_OUT<0>| 2.249| | | | ----------------------------------------------------------+---------+---------+---------+---------+ Clock to Setup on destination clock i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/GTPCLKOUT1_OUT<0> ----------------------------------------------------------+---------+---------+---------+---------+ | Src:Rise| Src:Fall| Src:Rise| Src:Fall| Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| ----------------------------------------------------------+---------+---------+---------+---------+ DNA_div_4 | 9.603| | | | FSIO_SCK | 9.124| | | | i_DCM_TTCclk/CLKOUT0 | 10.154| | | | i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/GTPCLKOUT1_OUT<0>| 12.134| | 3.151| | sysclk_dcm_locked_reprogV6_OR_106_o | | 10.997| | | ----------------------------------------------------------+---------+---------+---------+---------+ ========================================================================= Total REAL time to Xst completion: 162.00 secs Total CPU time to Xst completion: 143.36 secs --> Total memory usage is 595116 kilobytes Number of errors : 0 ( 0 filtered) Number of warnings : 1025 ( 0 filtered) Number of infos : 49 ( 0 filtered)