Release 14.7 ngdbuild P.20131013 (lin64) Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. Command Line: /opt/Xilinx/14.7/ISE_DS/ISE/bin/lin64/unwrapped/ngdbuild -intstyle ise -dd _ngo -sd ../T2new -nt timestamp -a -uc /home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2.ucf -p xc6slx45t-fgg484-2 AMC13_T2.ngc AMC13_T2.ngd Reading NGO file "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2newGolden/AMC13_T2.ngc" ... Loading design module "../T2new/gig_eth_pcs_pma_v11_1.ngc"... Loading design module "../T2new/LinkFIFO.ngc"... Gathering constraint information from source properties... Done. Annotating constraints to design from ucf file "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2.ucf" ... Resolving constraint associations... Checking Constraint Associations... WARNING:ConstraintSystem - Constraint [/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2.ucf(4)] was not distributed to the output pin GTPCLKOUT0<0> of block gtpa1_dual_i because the signal path to this output pin depends upon block attribute settings. Constraint distribution does not support attribute dependent distribution. WARNING:ConstraintSystem - Constraint [/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2.ucf(4)] was not distributed to the output pin GTPCLKOUT1<0> of block gtpa1_dual_i because the signal path to this output pin depends upon block attribute settings. Constraint distribution does not support attribute dependent distribution. WARNING:ConstraintSystem:168 - Constraint [/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2.ucf(34)]: This constraint will be ignored because NET "ipb_clk" could not be found or was not connected to a PAD. WARNING:ConstraintSystem:56 - Constraint [/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2.ucf(135)]: Unable to find an active 'TimeGrp' or 'TNM' or 'TPSync' constraint named 'TNM_ipb_clk'. WARNING:ConstraintSystem:56 - Constraint [/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/AMC13_T2.ucf(136)]: Unable to find an active 'TimeGrp' or 'TNM' or 'TPSync' constraint named 'TNM_ipb_clk'. INFO:ConstraintSystem:178 - TNM 'TTC_REFCLK', used in period specification 'TS_TTC_REFCLK', was traced into PLL_ADV instance PLL_ADV. The following new TNM groups and period specifications were generated at the PLL_ADV output(s): CLKOUT1: INFO:ConstraintSystem:178 - TNM 'TTC_REFCLK', used in period specification 'TS_TTC_REFCLK', was traced into PLL_ADV instance PLL_ADV. The following new TNM groups and period specifications were generated at the PLL_ADV output(s): CLKOUT0: INFO:ConstraintSystem:178 - TNM 'TTC_REFCLK', used in period specification 'TS_TTC_REFCLK', was traced into PLL_ADV instance PLL_ADV. The following new TNM groups and period specifications were generated at the PLL_ADV output(s): CLKOUT2: INFO:ConstraintSystem:178 - TNM 'TTCclk_p', used in period specification 'TS_TTCclk_p', was traced into DCM_SP instance g_TTCclkOut[0].i_DCM_TTCclkOut. The following new TNM groups and period specifications were generated at the DCM_SP output(s): CLK0: INFO:ConstraintSystem:178 - TNM 'TTCclk_p', used in period specification 'TS_TTCclk_p', was traced into DCM_SP instance g_TTCclkOut[1].i_DCM_TTCclkOut. The following new TNM groups and period specifications were generated at the DCM_SP output(s): CLK0: INFO:ConstraintSystem:178 - TNM 'TTCclk_p', used in period specification 'TS_TTCclk_p', was traced into DCM_SP instance g_TTCclkOut[2].i_DCM_TTCclkOut. The following new TNM groups and period specifications were generated at the DCM_SP output(s): CLK0: INFO:ConstraintSystem:178 - TNM 'TTCclk_p', used in period specification 'TS_TTCclk_p', was traced into DCM_SP instance g_TTCclkOut[3].i_DCM_TTCclkOut. The following new TNM groups and period specifications were generated at the DCM_SP output(s): CLK0: Done... WARNING:NgdBuild:1212 - User specified non-default attribute value (25.0) was detected for the CLKIN_PERIOD attribute on DCM "g_TTCclkOut[0].i_DCM_TTCclkOut". This does not match the PERIOD constraint value (24.9 ns.). The uncertainty calculation will use the non-default attribute value. This could result in incorrect uncertainty calculated for DCM output clocks. WARNING:NgdBuild:1212 - User specified non-default attribute value (25.0) was detected for the CLKIN_PERIOD attribute on DCM "g_TTCclkOut[1].i_DCM_TTCclkOut". This does not match the PERIOD constraint value (24.9 ns.). The uncertainty calculation will use the non-default attribute value. This could result in incorrect uncertainty calculated for DCM output clocks. WARNING:NgdBuild:1212 - User specified non-default attribute value (25.0) was detected for the CLKIN_PERIOD attribute on DCM "g_TTCclkOut[2].i_DCM_TTCclkOut". This does not match the PERIOD constraint value (24.9 ns.). The uncertainty calculation will use the non-default attribute value. This could result in incorrect uncertainty calculated for DCM output clocks. WARNING:NgdBuild:1212 - User specified non-default attribute value (25.0) was detected for the CLKIN_PERIOD attribute on DCM "g_TTCclkOut[3].i_DCM_TTCclkOut". This does not match the PERIOD constraint value (24.9 ns.). The uncertainty calculation will use the non-default attribute value. This could result in incorrect uncertainty calculated for DCM output clocks. WARNING:NgdBuild:1440 - User specified non-default attribute value (24.948) was detected for the CLKIN1_PERIOD attribute on PLL "PLL_ADV". This does not match the PERIOD constraint value (24.9 ns.). The uncertainty calculation will use the PERIOD constraint value. This could result in incorrect uncertainty calculated for PLL output clocks. Checking expanded design ... WARNING:NgdBuild:452 - logical net 'ipb_master_out_ipb_addr<31>' has no driver WARNING:NgdBuild:452 - logical net 'ipb_master_out_ipb_addr<30>' has no driver WARNING:NgdBuild:452 - logical net 'ipb_master_out_ipb_addr<29>' has no driver WARNING:NgdBuild:452 - logical net 'ipb_master_out_ipb_addr<28>' has no driver WARNING:NgdBuild:452 - logical net 'ipb_master_out_ipb_addr<27>' has no driver WARNING:NgdBuild:452 - logical net 'ipb_master_out_ipb_addr<26>' has no driver WARNING:NgdBuild:452 - logical net 'ipb_master_out_ipb_addr<25>' has no driver WARNING:NgdBuild:452 - logical net 'ipb_master_out_ipb_addr<24>' has no driver WARNING:NgdBuild:452 - logical net 'ipb_master_out_ipb_addr<23>' has no driver WARNING:NgdBuild:452 - logical net 'ipb_master_out_ipb_addr<22>' has no driver WARNING:NgdBuild:452 - logical net 'ipb_master_out_ipb_addr<21>' has no driver WARNING:NgdBuild:452 - logical net 'ipb_master_out_ipb_addr<20>' has no driver WARNING:NgdBuild:452 - logical net 'ipb_master_out_ipb_addr<19>' has no driver WARNING:NgdBuild:452 - logical net 'ipb_master_out_ipb_addr<18>' has no driver WARNING:NgdBuild:452 - logical net 'ipb_master_out_ipb_addr<17>' has no driver WARNING:NgdBuild:452 - logical net 'ipb_master_out_ipb_addr<16>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/mac_tx_error' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans_out_raddr<11>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans_out_raddr<10>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans_out_raddr<9>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans_out_waddr<11>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans_out_waddr<10>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans_out_waddr<9>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/ipb_req' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/pkt_rx' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/pkt_rx_led' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/pkt_tx_led' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/udp_if/arp_addr<12>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/udp_if/arp_addr<11>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/udp_if/arp_addr<10>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/udp_if/arp_addr<9>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/udp_if/arp_addr<8>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/udp_if/arp_addr<7>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/udp_if/arp_addr<6>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/udp_if/arp_end_addr<12>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/udp_if/arp_end_addr<11>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/udp_if/arp_end_addr<10>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/udp_if/arp_end_addr<9>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/udp_if/arp_end_addr<8>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/udp_if/arp_end_addr<7>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/udp_if/arp_end_addr<6>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/udp_if/arp_end_addr<4>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/udp_if/arp_end_addr<2>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/udp_if/arp_end_addr<1>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/udp_if/status_addr<12>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/udp_if/status_addr<11>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/udp_if/status_addr<10>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/udp_if/status_addr<9>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/udp_if/status_addr<8>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/udp_if/status_addr<7>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/udp_if/status_end_addr<12>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/udp_if/status_end_addr<11>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/udp_if/status_end_addr<10>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/udp_if/status_end_addr<9>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/udp_if/status_end_addr<8>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/udp_if/status_end_addr<7>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/udp_if/status_end_addr<4>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/udp_if/status_end_addr<2>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/udp_if/status_end_addr<1>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<95>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<94>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<93>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<92>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<91>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<90>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<89>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<88>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<87>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<86>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<85>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<84>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<83>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<82>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<31>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<30>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<29>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<28>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<27>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<26>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<25>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<24>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<23>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<22>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<21>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<20>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<19>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<18>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<17>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<16>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<15>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<14>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<13>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<12>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<11>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<10>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<9>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<8>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<7>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<6>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<5>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<4>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<3>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<2>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<1>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_in<0>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<127>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<126>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<125>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<124>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<123>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<122>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<121>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<120>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<119>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<118>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<117>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<116>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<115>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<114>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<113>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<112>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<111>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<110>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<109>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<108>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<107>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<106>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<105>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<104>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<103>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<102>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<101>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<100>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<99>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<98>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<97>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<96>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<95>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<94>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<93>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<92>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<91>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<90>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<89>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<88>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<87>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<86>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<85>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<84>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<83>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<82>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<80>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<79>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<78>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<77>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<76>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<75>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<74>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<73>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<72>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<71>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<70>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<69>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<68>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<67>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<66>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<65>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<64>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<63>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<62>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<61>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<60>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<59>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<58>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<57>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<56>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<55>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<54>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<53>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<52>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<51>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<50>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<49>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<48>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<47>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<46>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<45>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<44>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<43>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<42>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<41>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<40>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<39>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<38>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<37>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<36>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<35>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<34>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<33>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<32>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<31>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<30>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<29>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<28>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<27>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<26>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<25>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<24>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<23>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<22>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<21>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<20>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<19>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<18>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<17>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<16>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<15>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<14>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<13>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<12>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<11>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<10>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<9>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<8>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<7>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<6>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<5>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<4>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<3>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<2>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<1>' has no driver WARNING:NgdBuild:452 - logical net 'i_ipbus/trans/cfg_vector_out<0>' has no driver Partition Implementation Status ------------------------------- No Partitions were found in this design. ------------------------------- NGDBUILD Design Results Summary: Number of errors: 0 Number of warnings: 242 Total memory usage is 546632 kilobytes Writing NGD file "AMC13_T2.ngd" ... Total REAL time to NGDBUILD completion: 34 sec Total CPU time to NGDBUILD completion: 33 sec Writing NGDBUILD log file "AMC13_T2.bld"...