------------------------------------------------------------------------------- -- Copyright (c) 2020 Xilinx, Inc. -- All Rights Reserved ------------------------------------------------------------------------------- -- ____ ____ -- / /\/ / -- /___/ \ / Vendor : Xilinx -- \ \ \/ Version : 14.7 -- \ \ Application: XILINX CORE Generator -- / / Filename : chipscope_vio.vhd -- /___/ /\ Timestamp : Tue Dec 01 06:52:36 UTC 2020 -- \ \ / \ -- \___\/\___\ -- -- Design Name: VHDL Synthesis Wrapper ------------------------------------------------------------------------------- -- This wrapper is used to integrate with Project Navigator and PlanAhead LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY chipscope_vio IS port ( CONTROL: inout std_logic_vector(35 downto 0); ASYNC_IN: in std_logic_vector(15 downto 0)); END chipscope_vio; ARCHITECTURE chipscope_vio_a OF chipscope_vio IS BEGIN END chipscope_vio_a;