------------------------------------------------------------------------------- -- Copyright (c) 2020 Xilinx, Inc. -- All Rights Reserved ------------------------------------------------------------------------------- -- ____ ____ -- / /\/ / -- /___/ \ / Vendor : Xilinx -- \ \ \/ Version : 14.7 -- \ \ Application: Xilinx CORE Generator -- / / Filename : chipscope_icon.vho -- /___/ /\ Timestamp : Tue Dec 01 06:50:49 UTC 2020 -- \ \ / \ -- \___\/\___\ -- -- Design Name: ISE Instantiation template -- Component Identifier: xilinx.com:ip:chipscope_icon:1.06.a ------------------------------------------------------------------------------- -- The following code must appear in the VHDL architecture header: ------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG component chipscope_icon PORT ( CONTROL0 : INOUT STD_LOGIC_VECTOR(35 DOWNTO 0)); end component; -- COMP_TAG_END ------ End COMPONENT Declaration ------------ -- The following code must appear in the VHDL architecture -- body. Substitute your own instance name and net names. ------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG your_instance_name : chipscope_icon port map ( CONTROL0 => CONTROL0); -- INST_TAG_END ------ End INSTANTIATION Template ------------