Running: /opt/Xilinx/14.7/ISE_DS/ISE/bin/lin64/unwrapped/fuse -intstyle ise -incremental -lib secureip -o /home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/icap_tb_isim_beh.exe -prj /home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/icap_tb_beh.prj work.icap_tb ISim P.20131013 (signature 0xfbc00daa) Number of CPUs detected in this system: 1 Turning on mult-threading, number of parallel sub-compilation jobs: 0 Determining compilation order of HDL files Parsing VHDL file "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/reboot.vhd" into library work Parsing VHDL file "/home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/icap_tb.vhd" into library work Starting static elaboration Completed static elaboration Fuse Memory Usage: 95608 KB Fuse CPU Usage: 1860 ms Compiling package standard Compiling package std_logic_1164 Compiling package std_logic_arith Compiling package std_logic_unsigned Compiling architecture behavioral of entity reboot [reboot_default] Compiling architecture behavior of entity icap_tb Time Resolution for simulation is 1ps. Compiled 7 VHDL Units Built simulation executable /home/ise/D_DRIVE/Design_collection/AMC13_fw/T2new/icap_tb_isim_beh.exe Fuse Memory Usage: 110848 KB Fuse CPU Usage: 2100 ms GCC CPU Usage: 2720 ms