NET "SEL_TTC_CLK" LOC = "R19" |IOSTANDARD = LVCMOS33 |SLEW = SLOW |DRIVE = 2; NET "REFCLK_N" LOC = "B10"; NET "REFCLK_P" LOC = "A10"; NET "REFCLK_P" TNM_NET = REFCLK_P; TIMESPEC TS_REFCLK_P = PERIOD "REFCLK_P" 8.0 ns HIGH 50%; NET "LINK_TXN" LOC = "A6"; NET "LINK_TXP" LOC = "B6"; NET "GbE_TXN" LOC = "A8"; NET "GbE_TXP" LOC = "B8"; NET "LINK_RXN" LOC = "C7"; NET "LINK_RXP" LOC = "D7"; NET "GbE_RXN" LOC = "C9"; NET "GbE_RXP" LOC = "D9"; NET "FLASH_S" LOC = "AA3" |IOSTANDARD = LVCMOS33 |OUT_TERM = UNTUNED_50 | PULLUP; NET "FLASH_S2" LOC = "AA21" |IOSTANDARD = LVCMOS33 | PULLUP; NET "FLASH_C" LOC = "Y20" |IOSTANDARD = LVCMOS33 |OUT_TERM = UNTUNED_50 | PULLDOWN; NET "FLASH_D" LOC = "AB20" |IOSTANDARD = LVCMOS33 |OUT_TERM = UNTUNED_50; NET "FLASH_Q" LOC = "AA20" |IOSTANDARD = LVCMOS33; #NET "V2S_p" LOC = "C17" |DIFF_TERM = TRUE; NET "S2V_p" LOC = "B18"; NET "T3_TCDS_aux1" LOC = "L6" |IOSTANDARD = LVCMOS33 | PULLUP; NET "T3_TCDS_aux2" LOC = "K4" |IOSTANDARD = LVCMOS33 | PULLUP; NET "T3_SCK" LOC = "G3" |IOSTANDARD = LVCMOS33 | PULLUP | OUT_TERM = UNTUNED_50; NET "SN_IN<0>" LOC = "AA1" |IOSTANDARD = LVCMOS33 | PULLUP; NET "SN_IN<1>" LOC = "AA2" |IOSTANDARD = LVCMOS33 | PULLUP; NET "SN_IN<2>" LOC = "Y2" |IOSTANDARD = LVCMOS33 | PULLUP; NET "SN_IN<3>" LOC = "Y1" |IOSTANDARD = LVCMOS33 | PULLUP; NET "SN_IN<4>" LOC = "W3" |IOSTANDARD = LVCMOS33 | PULLUP; NET "SN_IN<5>" LOC = "W1" |IOSTANDARD = LVCMOS33 | PULLUP; NET "SN_IN<6>" LOC = "V1" |IOSTANDARD = LVCMOS33 | PULLUP; NET "SN_IN<7>" LOC = "U1" |IOSTANDARD = LVCMOS33 | PULLUP; NET "SN_IN<8>" LOC = "E4" |IOSTANDARD = LVCMOS33 | PULLUP; NET "SN_IN<9>" LOC = "P4" |IOSTANDARD = LVCMOS33 | PULLUP; NET "V6_CDATA" OFFSET = OUT 10 ns AFTER "ipb_clk"; NET "V6_CDATA" LOC = "A20" |IOSTANDARD = LVCMOS25 | OUT_TERM = UNTUNED_50; NET "V6_CCLK" LOC = "B20" |IOSTANDARD = LVCMOS25 | OUT_TERM = UNTUNED_50; NET "V6_PROG_B" LOC = "A4" |IOSTANDARD = LVCMOS25 |OUT_TERM = UNTUNED_50; NET "V6_INIT_B" LOC = "A19" |IOSTANDARD = LVCMOS25 | PULLUP; NET "V6_DONE" LOC = "C19" |IOSTANDARD = LVCMOS25; NET "T1_SCK" LOC = "B2" |IOSTANDARD = LVCMOS25 |OUT_TERM = UNTUNED_50; NET "T1_CS_B" LOC = "A2" |IOSTANDARD = LVCMOS25 |OUT_TERM = UNTUNED_50; NET "T1_MISO" LOC = "B3" |IOSTANDARD = LVCMOS25; NET "T1_MOSI" LOC = "A3" |IOSTANDARD = LVCMOS25 |OUT_TERM = UNTUNED_50; NET "FSIO_SCK" LOC = "M3" |IOSTANDARD = LVCMOS33 | PULLUP; NET "FSIO_SCK" TNM_NET = FSIO_SCK; TIMESPEC TS_FSIO_SCK = PERIOD "FSIO_SCK" 250.0 ns HIGH 50%; NET "FSIO_SCAN" LOC = "U3" |IOSTANDARD = LVCMOS33 | PULLUP; NET "FSIO_MOSI" LOC = "K1" |IOSTANDARD = LVCMOS33; NET "FSIO_MISO" LOC = "K2" |IOSTANDARD = LVCMOS33 |OUT_TERM = UNTUNED_50; NET "FSIO_CS_B<0>" LOC = "N1" |IOSTANDARD = LVCMOS33 | PULLUP; NET "FSIO_CS_B<1>" LOC = "J1" |IOSTANDARD = LVCMOS33 | PULLUP; NET "FSIO_CS_B<2>" LOC = "J3" |IOSTANDARD = LVCMOS33 | PULLUP; NET "FSIO_CS_B<3>" LOC = "F1" |IOSTANDARD = LVCMOS33 | PULLUP; NET "GPLED_B<0>" LOC = "M4" |IOSTANDARD = LVCMOS33 | PULLUP; NET "GPLED_B<1>" LOC = "P1" |IOSTANDARD = LVCMOS33 | PULLUP; NET "GPLED_B<2>" LOC = "R3" |IOSTANDARD = LVCMOS33 | PULLUP; NET "GPLED_B<3>" LOC = "T2" |IOSTANDARD = LVCMOS33 | PULLUP; NET "TxFB_p<1>" LOC = "AA18"; NET "TxFB_p<2>" LOC = "Y17"; NET "TxFB_p<3>" LOC = "AA16"; NET "TxFB_p<4>" LOC = "Y15"; NET "TxFB_p<5>" LOC = "Y13"; NET "TxFB_p<6>" LOC = "AA12"; NET "TxFB_p<7>" LOC = "Y11"; NET "TxFB_p<8>" LOC = "AA10"; NET "TxFB_p<9>" LOC = "AA8"; NET "TxFB_p<10>" LOC = "Y7"; NET "TxFB_p<11>" LOC = "AA6"; NET "TxFB_p<12>" LOC = "AA4"; NET "RxFB_p<1>" LOC = "V17"; NET "RxFB_p<2>" LOC = "W17"; NET "RxFB_p<3>" LOC = "Y16"; NET "RxFB_p<4>" LOC = "W14"; NET "RxFB_p<5>" LOC = "AA14"; NET "RxFB_p<6>" LOC = "V13"; NET "RxFB_p<7>" LOC = "V11"; NET "RxFB_p<8>" LOC = "W9"; NET "RxFB_p<9>" LOC = "Y9"; NET "RxFB_p<10>" LOC = "V7"; NET "RxFB_p<11>" LOC = "W6"; NET "RxFB_p<12>" LOC = "Y5"; NET "CLK1_en<0>" LOC = "AB19" |IOSTANDARD = LVCMOS33 |SLEW = SLOW |DRIVE = 2; NET "CLK1_en<1>" LOC = "T14" |IOSTANDARD = LVCMOS33 |SLEW = SLOW |DRIVE = 2; NET "CLK1_en<2>" LOC = "U14" |IOSTANDARD = LVCMOS33 |SLEW = SLOW |DRIVE = 2; NET "CLK1_en<3>" LOC = "U13" |IOSTANDARD = LVCMOS33 |SLEW = SLOW |DRIVE = 2; NET "CLK1_en<4>" LOC = "R13" |IOSTANDARD = LVCMOS33 |SLEW = SLOW |DRIVE = 2; NET "CLK1_en<5>" LOC = "T12" |IOSTANDARD = LVCMOS33 |SLEW = SLOW |DRIVE = 2; NET "CLK1_en<6>" LOC = "Y12" |IOSTANDARD = LVCMOS33 |SLEW = SLOW |DRIVE = 2; NET "CLK1_en<7>" LOC = "T10" |IOSTANDARD = LVCMOS33 |SLEW = SLOW |DRIVE = 2; NET "CLK1_en<8>" LOC = "W10" |IOSTANDARD = LVCMOS33 |SLEW = SLOW |DRIVE = 2; NET "CLK1_en<9>" LOC = "U9" |IOSTANDARD = LVCMOS33 |SLEW = SLOW |DRIVE = 2; NET "CLK1_en<10>" LOC = "T8" |IOSTANDARD = LVCMOS33 |SLEW = SLOW |DRIVE = 2; NET "CLK1_en<11>" LOC = "T7" |IOSTANDARD = LVCMOS33 |SLEW = SLOW |DRIVE = 2; NET "TTC_REFCLK" LOC = "L4" | IOSTANDARD = "LVCMOS33"; NET "TTC_CLK_p<2>" LOC = "L3"; NET "TTC_CLK_p<1>" LOC = "K21"; NET "TTC_CLK_p<0>" LOC = "M20"; NET "TTC_CLK_p<3>" LOC = "P3"; NET "TTC_REFCLK" TNM_NET = TTC_REFCLK; TIMESPEC TS_TTC_REFCLK = PERIOD "TTC_REFCLK" 24.9 ns HIGH 50%; NET "TTCdata_p" OFFSET = IN 10ns VALID 7ns BEFORE TTC_REFCLK RISING; NET "TTCdata_p" OFFSET = IN 10ns VALID 7ns BEFORE TTC_REFCLK FALLING; NET "TTC_CLK_p" TNM_NET = TTCclk_p; TIMESPEC TS_TTCclk_p = PERIOD "TTCclk_p" 24.9 ns HIGH 50%; OFFSET = OUT 7.2 ns AFTER "TTC_CLK_p<0>" RISING; OFFSET = OUT 7.2 ns AFTER "TTC_CLK_p<0>" FALLING; OFFSET = OUT 7.2 ns AFTER "TTC_CLK_p<1>" RISING; OFFSET = OUT 7.2 ns AFTER "TTC_CLK_p<1>" FALLING; OFFSET = OUT 7.2 ns AFTER "TTC_CLK_p<2>" RISING; OFFSET = OUT 7.2 ns AFTER "TTC_CLK_p<2>" FALLING; OFFSET = OUT 7.2 ns AFTER "TTC_CLK_p<3>" RISING; OFFSET = OUT 7.2 ns AFTER "TTC_CLK_p<3>" FALLING; NET "TTCdata_p" LOC = "C1"; #NET "TTCclk_p" TNM_NET = TTCclk_p; #TIMESPEC TS_TTCclk_p = PERIOD "TTCclk_p" 25 ns HIGH 50%; #NET "TTCdata_p" OFFSET = IN 8ns VALID 8ns BEFORE TTCclk_p RISING; #NET "TTCdata_p" OFFSET = IN 3ns VALID 6ns BEFORE TTCclk_n RISING; #NET "TxFB_p<*>" OFFSET = OUT 4.2 ns VALID 10ns AFTER "TTCclk_p"; #NET "TxFB_p<*>" OFFSET = OUT 7.2 ns AFTER "TTCclk_p" RISING; #NET "TxFB_p<*>" OFFSET = OUT 7.2 ns AFTER "TTCclk_p" FALLING; NET "sysclk" TNM_NET = sysclk; TIMESPEC TS_sysclk = PERIOD "sysclk" 8 ns HIGH 50%; NET "sysclk2x" TNM_NET = sysclk2x; TIMESPEC TS_sysclk2x = PERIOD "sysclk2x" 4 ns HIGH 50%; NET "ipb_clk" TNM_NET = ipb_clk; TIMESPEC TS_ipb_clk = PERIOD "ipb_clk" 32 ns HIGH 50%; NET "RxFB_in<*>" MAXDELAY = 3 ns; NET "T3_TCDS_aux1" MAXDELAY = 3 ns; NET "T3_TCDS_aux2" MAXDELAY = 3 ns; INST "i_twinmux" TNM = TNM_sysBRAMs; INST "i_buffer" TNM = TNM_sysBRAMs; INST "i_TTC_history?" TNM = TNM_sysBRAMs; INST "i_flash/i_wbuf" TNM = TNM_sysBRAMs; INST "i_flash/i_rbuf" TNM = TNM_sysBRAMs; TIMESPEC TS_sysBRAM2ipb_clk = FROM "TNM_sysBRAMs" TO "TNM_ipb_clk" 16 ns; TIMESPEC TS_ipb_clk2sysBRAM = FROM "TNM_ipb_clk" TO "TNM_sysBRAMs" 16 ns;