Device Usage Page (usage_statistics_webtalk.html)

This HTML page displays the device usage statistics that will be sent to Xilinx.
 

 
Software Version and Target Device
Product Version: ISE:14.7 (ISE) - P.20131013 Target Family: Spartan6
OS Platform: NT64 Target Device: xc6slx45t
Project ID (random number) 5bf55eb8ae7d491f9b1a55cd148fc10c.40E25351B6D240859876D098FB7227EF.64 Target Package: fgg484
Registration ID 174239099_1777505936_210597351_629 Target Speed: -2
Date Generated 2015-07-13T10:49:21 Tool Flow ISE
 
User Environment
OS Name Microsoft Windows 7 , 64-bit OS Release Service Pack 1 (build 7601)
CPU Name Intel(R) Xeon(R) CPU W3680 @ 3.33GHz CPU Speed 3340 MHz
OS Name Microsoft Windows 7 , 64-bit OS Release Service Pack 1 (build 7601)
CPU Name Intel(R) Xeon(R) CPU W3680 @ 3.33GHz CPU Speed 3340 MHz
 
Device Usage Statistics
Macro StatisticsMiscellaneous StatisticsNet StatisticsSite Usage
Adders/Subtractors=24
  • 1-bit adder=2
  • 12-bit adder=2
  • 13-bit adder=3
  • 16-bit adder=1
  • 3-bit adder=1
  • 32-bit adder=1
  • 4-bit adder=4
  • 5-bit adder=1
  • 6-bit adder=2
  • 7-bit adder=1
  • 8-bit adder=1
  • 9-bit adder=5
Comparators=34
  • 12-bit comparator equal=1
  • 13-bit comparator equal=1
  • 16-bit comparator equal=17
  • 16-bit comparator greater=1
  • 27-bit comparator equal=1
  • 6-bit comparator equal=1
  • 8-bit comparator not equal=11
  • 9-bit comparator equal=1
Counters=35
  • 11-bit up counter=2
  • 12-bit up counter=4
  • 16-bit up counter=4
  • 2-bit up counter=2
  • 20-bit up counter=2
  • 24-bit up counter=1
  • 27-bit up counter=1
  • 28-bit up counter=2
  • 3-bit up counter=3
  • 32-bit up counter=1
  • 4-bit up counter=2
  • 5-bit up counter=2
  • 6-bit down counter=1
  • 6-bit up counter=3
  • 8-bit down counter=1
  • 8-bit up counter=4
FSMs=2 Multiplexers=1683
  • 1-bit 16-to-1 multiplexer=14
  • 1-bit 2-to-1 multiplexer=1216
  • 1-bit 3-to-1 multiplexer=2
  • 1-bit 4-to-1 multiplexer=128
  • 10-bit 2-to-1 multiplexer=1
  • 112-bit 2-to-1 multiplexer=1
  • 12-bit 2-to-1 multiplexer=4
  • 128-bit 2-to-1 multiplexer=6
  • 13-bit 2-to-1 multiplexer=34
  • 13-bit 3-to-1 multiplexer=1
  • 16-bit 2-to-1 multiplexer=58
  • 16-bit 4-to-1 multiplexer=1
  • 2-bit 2-to-1 multiplexer=10
  • 2-bit 3-to-1 multiplexer=1
  • 24-bit 2-to-1 multiplexer=3
  • 28-bit 2-to-1 multiplexer=1
  • 3-bit 2-to-1 multiplexer=8
  • 3-bit 8-to-1 multiplexer=1
  • 32-bit 16-to-1 multiplexer=1
  • 32-bit 2-to-1 multiplexer=29
  • 34-bit 2-to-1 multiplexer=1
  • 36-bit 2-to-1 multiplexer=1
  • 38-bit 2-to-1 multiplexer=2
  • 4-bit 2-to-1 multiplexer=31
  • 4-bit 4-to-1 multiplexer=1
  • 42-bit 2-to-1 multiplexer=3
  • 45-bit 2-to-1 multiplexer=2
  • 48-bit 2-to-1 multiplexer=4
  • 5-bit 2-to-1 multiplexer=13
  • 6-bit 2-to-1 multiplexer=11
  • 7-bit 2-to-1 multiplexer=3
  • 8-bit 2-to-1 multiplexer=73
  • 8-bit 4-to-1 multiplexer=2
  • 9-bit 2-to-1 multiplexer=16
RAMs=7
  • 16x16-bit single-port distributed Read Only RAM=1
  • 4096x8-bit dual-port block RAM=1
  • 8192x32-bit dual-port block RAM=1
  • 8192x8-bit dual-port block RAM=4
Registers=5177
  • Flip-Flops=5177
Xors=160
  • 1-bit xor13=1
  • 1-bit xor2=92
  • 1-bit xor3=24
  • 1-bit xor4=22
  • 1-bit xor5=13
  • 1-bit xor6=6
  • 16-bit xor2=1
  • 16-bit xor4=1
MiscellaneousStatistics
  • AGG_BONDED_IO=102
  • AGG_IO=102
  • AGG_LOCED_IO=75
  • AGG_SLICE=1762
  • NUM_BONDED_IOB=76
  • NUM_BONDED_IOBM=13
  • NUM_BONDED_IOBS=13
  • NUM_BONDED_IPAD=6
  • NUM_BONDED_OPAD=4
  • NUM_BSFULL=3190
  • NUM_BSLUTONLY=1239
  • NUM_BSREGONLY=1055
  • NUM_BSUSED=5484
  • NUM_BUFDS=1
  • NUM_BUFG=7
  • NUM_BUFIO2=2
  • NUM_BUFIO2FB=1
  • NUM_DCM=2
  • NUM_DNA_PORT=1
  • NUM_GTPA1_DUAL=1
  • NUM_ICAP=1
  • NUM_ILOGIC2=16
  • NUM_IOB_FF=19
  • NUM_LOCED_IOB=62
  • NUM_LOCED_IOBM=13
  • NUM_LOCED_IPAD=6
  • NUM_LOCED_OPAD=4
  • NUM_LOGIC_O5ANDO6=1237
  • NUM_LOGIC_O5ONLY=209
  • NUM_LOGIC_O6ONLY=2767
  • NUM_LUT_RT_DRIVES_CARRY4=18
  • NUM_LUT_RT_DRIVES_FLOP=170
  • NUM_LUT_RT_EXO5=170
  • NUM_LUT_RT_EXO6=18
  • NUM_LUT_RT_O5=31
  • NUM_LUT_RT_O6=208
  • NUM_OLOGIC2=3
  • NUM_RAMB16BWER=37
  • NUM_RPM=1
  • NUM_SLICEL=194
  • NUM_SLICEM=14
  • NUM_SLICEX=1554
  • NUM_SLICE_CARRY4=155
  • NUM_SLICE_CONTROLSET=155
  • NUM_SLICE_CYINIT=5965
  • NUM_SLICE_F7MUX=45
  • NUM_SLICE_F8MUX=6
  • NUM_SLICE_FF=5156
  • NUM_SLICE_LATCH=3
  • NUM_SLICE_UNUSEDCTRL=262
  • NUM_SRL_O5ANDO6=12
  • NUM_SRL_O6ONLY=16
  • NUM_UNUSABLE_FF_BELS=441
  • Xilinx Core fifo_generator_v8_2, Xilinx CORE Generator 13.2=1
  • Xilinx Core gig_eth_pcs_pma_v11_1, Xilinx CORE Generator 13.1=1
NetStatistics
  • NumNets_Active=8158
  • NumNets_Gnd=1
  • NumNets_Vcc=1
  • NumNodesOfType_Active_BOUNCEACROSS=168
  • NumNodesOfType_Active_BOUNCEIN=1067
  • NumNodesOfType_Active_BUFGOUT=7
  • NumNodesOfType_Active_BUFHINP2OUT=47
  • NumNodesOfType_Active_BUFIOINP=3
  • NumNodesOfType_Active_CLKPIN=1515
  • NumNodesOfType_Active_CLKPINFEED=70
  • NumNodesOfType_Active_CNTRLPIN=848
  • NumNodesOfType_Active_DOUBLE=10083
  • NumNodesOfType_Active_GENERIC=104
  • NumNodesOfType_Active_GLOBAL=534
  • NumNodesOfType_Active_INPUT=1482
  • NumNodesOfType_Active_IOBIN2OUT=78
  • NumNodesOfType_Active_IOBINPUT=2
  • NumNodesOfType_Active_IOBOUTPUT=78
  • NumNodesOfType_Active_LUTINPUT=19788
  • NumNodesOfType_Active_OUTBOUND=8182
  • NumNodesOfType_Active_OUTPUT=8192
  • NumNodesOfType_Active_PADINPUT=56
  • NumNodesOfType_Active_PADOUTPUT=60
  • NumNodesOfType_Active_PINBOUNCE=4625
  • NumNodesOfType_Active_PINFEED=22936
  • NumNodesOfType_Active_PINFEED1=3
  • NumNodesOfType_Active_PINFEED2=23
  • NumNodesOfType_Active_QUAD=7179
  • NumNodesOfType_Active_REGINPUT=1654
  • NumNodesOfType_Active_SINGLE=12500
  • NumNodesOfType_Gnd_BOUNCEACROSS=4
  • NumNodesOfType_Gnd_BOUNCEIN=384
  • NumNodesOfType_Gnd_CLKPIN=5
  • NumNodesOfType_Gnd_CNTRLPIN=9
  • NumNodesOfType_Gnd_DOUBLE=19
  • NumNodesOfType_Gnd_GENERIC=13
  • NumNodesOfType_Gnd_HGNDOUT=224
  • NumNodesOfType_Gnd_INPUT=715
  • NumNodesOfType_Gnd_IOBIN2OUT=15
  • NumNodesOfType_Gnd_IOBOUTPUT=13
  • NumNodesOfType_Gnd_LUTINPUT=63
  • NumNodesOfType_Gnd_OUTBOUND=29
  • NumNodesOfType_Gnd_OUTPUT=36
  • NumNodesOfType_Gnd_PADINPUT=13
  • NumNodesOfType_Gnd_PINBOUNCE=395
  • NumNodesOfType_Gnd_PINFEED=737
  • NumNodesOfType_Gnd_REGINPUT=116
  • NumNodesOfType_Gnd_SINGLE=25
  • NumNodesOfType_Vcc_CNTRLPIN=15
  • NumNodesOfType_Vcc_GENERIC=15
  • NumNodesOfType_Vcc_HVCCOUT=631
  • NumNodesOfType_Vcc_INPUT=121
  • NumNodesOfType_Vcc_IOBIN2OUT=15
  • NumNodesOfType_Vcc_IOBOUTPUT=15
  • NumNodesOfType_Vcc_KVCCOUT=80
  • NumNodesOfType_Vcc_LUTINPUT=1577
  • NumNodesOfType_Vcc_PADINPUT=15
  • NumNodesOfType_Vcc_PINBOUNCE=48
  • NumNodesOfType_Vcc_PINFEED=1709
  • NumNodesOfType_Vcc_REGINPUT=29
SiteStatistics
  • BUFG-BUFGMUX=7
  • IOB-IOBM=37
  • IOB-IOBS=39
  • SLICEL-SLICEM=83
  • SLICEX-SLICEL=382
  • SLICEX-SLICEM=345
SiteSummary
  • BUFDS=1
  • BUFDS_BUFDS=1
  • BUFG=7
  • BUFG_BUFG=7
  • BUFIO2=2
  • BUFIO2FB=1
  • BUFIO2FB_BUFIO2FB=1
  • BUFIO2_BUFIO2=2
  • CARRY4=155
  • DCM=2
  • DCM_DCM=2
  • DNA_PORT=1
  • DNA_PORT_DNA_PORT=1
  • FF_SR=944
  • GTPA1_DUAL=1
  • GTPA1_DUAL_GTPA1_DUAL=1
  • HARD0=41
  • HARD1=23
  • ICAP=1
  • ICAP_ICAP=1
  • ILOGIC2=16
  • ILOGIC2_IFF=16
  • INVERTER=1
  • IOB=76
  • IOBM=13
  • IOBM_OUTBUF=13
  • IOBS=13
  • IOB_IMUX=37
  • IOB_INBUF=37
  • IOB_OUTBUF=27
  • IPAD=6
  • IPAD_IPAD=6
  • IPAD_PAD=6
  • LUT5=1647
  • LUT6=4228
  • LUT_OR_MEM5=12
  • LUT_OR_MEM6=30
  • NULLMUX=27
  • OLOGIC2=3
  • OLOGIC2_OUTFF=3
  • OPAD=4
  • OPAD_OPAD=4
  • OPAD_PAD=4
  • PAD=102
  • PULL_OR_KEEP1=22
  • RAMB16BWER=37
  • RAMB16BWER_RAMB16BWER=37
  • REG_SR=4215
  • SELMUX2_1=82
  • SLICEL=194
  • SLICEM=14
  • SLICEX=1554
 
Configuration Data
BSCAN_BSCAN
  • JTAG_CHAIN=[1:1]
  • JTAG_TEST=[0:1]
BUFIO2FB_BUFIO2FB
  • DIVIDE_BYPASS=[TRUE:1]
  • INVERT_INPUTS=[FALSE:1]
BUFIO2_BUFIO2
  • DIVIDE=[1:2]
  • DIVIDE_BYPASS=[TRUE:2]
  • I_INVERT=[FALSE:2]
DCM
  • PSCLK=[PSCLK_INV:0] [PSCLK:2]
  • PSEN=[PSEN_INV:0] [PSEN:2]
  • PSINCDEC=[PSINCDEC:2] [PSINCDEC_INV:0]
  • RST=[RST:2] [RST_INV:0]
DCM_DCM
  • CLKDV_DIVIDE=[4.0:1] [2.0:1]
  • CLKIN_DIVIDE_BY_2=[FALSE:2]
  • CLKOUT_PHASE_SHIFT=[NONE:1] [FIXED:1]
  • CLK_FEEDBACK=[1X:2]
  • DESKEW_ADJUST=[5:2]
  • DFS_FREQUENCY_MODE=[LOW:2]
  • DLL_FREQUENCY_MODE=[LOW:2]
  • DSS_MODE=[NONE:2]
  • DUTY_CYCLE_CORRECTION=[TRUE:2]
  • PSCLK=[PSCLK_INV:0] [PSCLK:2]
  • PSEN=[PSEN_INV:0] [PSEN:2]
  • PSINCDEC=[PSINCDEC:2] [PSINCDEC_INV:0]
  • RST=[RST:2] [RST_INV:0]
  • STARTUP_WAIT=[FALSE:2]
  • VERY_HIGH_FREQUENCY=[FALSE:2]
FF_SR
  • CK=[CK:944] [CK_INV:0]
  • SRINIT=[SRINIT0:906] [SRINIT1:38]
  • SYNC_ATTR=[ASYNC:758] [SYNC:186]
GTPA1_DUAL
  • DCLK=[DCLK_INV:0] [DCLK:1]
  • RXUSRCLK0=[RXUSRCLK0:1] [RXUSRCLK0_INV:0]
  • RXUSRCLK1=[RXUSRCLK1:1] [RXUSRCLK1_INV:0]
  • RXUSRCLK20=[RXUSRCLK20:1] [RXUSRCLK20_INV:0]
  • RXUSRCLK21=[RXUSRCLK21:1] [RXUSRCLK21_INV:0]
  • TSTCLK0=[TSTCLK0:1] [TSTCLK0_INV:0]
  • TSTCLK1=[TSTCLK1_INV:0] [TSTCLK1:1]
  • TXUSRCLK0=[TXUSRCLK0_INV:0] [TXUSRCLK0:1]
  • TXUSRCLK1=[TXUSRCLK1:1] [TXUSRCLK1_INV:0]
  • TXUSRCLK20=[TXUSRCLK20_INV:0] [TXUSRCLK20:1]
  • TXUSRCLK21=[TXUSRCLK21_INV:0] [TXUSRCLK21:1]
GTPA1_DUAL_GTPA1_DUAL
  • AC_CAP_DIS_0=[TRUE:1]
  • AC_CAP_DIS_1=[TRUE:1]
  • ALIGN_COMMA_WORD_0=[2:1]
  • ALIGN_COMMA_WORD_1=[1:1]
  • CB2_INH_CC_PERIOD_0=[8:1]
  • CB2_INH_CC_PERIOD_1=[8:1]
  • CHAN_BOND_1_MAX_SKEW_0=[1:1]
  • CHAN_BOND_1_MAX_SKEW_1=[1:1]
  • CHAN_BOND_2_MAX_SKEW_0=[1:1]
  • CHAN_BOND_2_MAX_SKEW_1=[1:1]
  • CHAN_BOND_KEEP_ALIGN_0=[FALSE:1]
  • CHAN_BOND_KEEP_ALIGN_1=[FALSE:1]
  • CHAN_BOND_SEQ_2_USE_0=[FALSE:1]
  • CHAN_BOND_SEQ_2_USE_1=[FALSE:1]
  • CHAN_BOND_SEQ_LEN_0=[1:1]
  • CHAN_BOND_SEQ_LEN_1=[1:1]
  • CLK25_DIVIDER_0=[5:1]
  • CLK25_DIVIDER_1=[5:1]
  • CLKINDC_B_0=[TRUE:1]
  • CLKINDC_B_1=[TRUE:1]
  • CLKRCV_TRST_0=[TRUE:1]
  • CLKRCV_TRST_1=[TRUE:1]
  • CLK_CORRECT_USE_0=[FALSE:1]
  • CLK_CORRECT_USE_1=[TRUE:1]
  • CLK_COR_ADJ_LEN_0=[1:1]
  • CLK_COR_ADJ_LEN_1=[2:1]
  • CLK_COR_DET_LEN_0=[1:1]
  • CLK_COR_DET_LEN_1=[2:1]
  • CLK_COR_INSERT_IDLE_FLAG_0=[FALSE:1]
  • CLK_COR_INSERT_IDLE_FLAG_1=[FALSE:1]
  • CLK_COR_KEEP_IDLE_0=[FALSE:1]
  • CLK_COR_KEEP_IDLE_1=[FALSE:1]
  • CLK_COR_MAX_LAT_0=[18:1]
  • CLK_COR_MAX_LAT_1=[18:1]
  • CLK_COR_MIN_LAT_0=[16:1]
  • CLK_COR_MIN_LAT_1=[16:1]
  • CLK_COR_PRECEDENCE_0=[TRUE:1]
  • CLK_COR_PRECEDENCE_1=[TRUE:1]
  • CLK_COR_REPEAT_WAIT_0=[0:1]
  • CLK_COR_REPEAT_WAIT_1=[0:1]
  • CLK_COR_SEQ_2_USE_0=[FALSE:1]
  • CLK_COR_SEQ_2_USE_1=[TRUE:1]
  • CLK_OUT_GTP_SEL_0=[TXOUTCLK0:1]
  • CLK_OUT_GTP_SEL_1=[REFCLKPLL1:1]
  • DCLK=[DCLK_INV:0] [DCLK:1]
  • DEC_MCOMMA_DETECT_0=[TRUE:1]
  • DEC_MCOMMA_DETECT_1=[TRUE:1]
  • DEC_PCOMMA_DETECT_0=[TRUE:1]
  • DEC_PCOMMA_DETECT_1=[TRUE:1]
  • DEC_VALID_COMMA_ONLY_0=[TRUE:1]
  • DEC_VALID_COMMA_ONLY_1=[FALSE:1]
  • GTP_CFG_PWRUP_0=[TRUE:1]
  • GTP_CFG_PWRUP_1=[TRUE:1]
  • LOOPBACK_DRP_EN_0=[FALSE:1]
  • LOOPBACK_DRP_EN_1=[FALSE:1]
  • MASTER_DRP_EN_0=[FALSE:1]
  • MASTER_DRP_EN_1=[FALSE:1]
  • MCOMMA_DETECT_0=[TRUE:1]
  • MCOMMA_DETECT_1=[TRUE:1]
  • OOB_CLK_DIVIDER_0=[4:1]
  • OOB_CLK_DIVIDER_1=[4:1]
  • PCI_EXPRESS_MODE_0=[FALSE:1]
  • PCI_EXPRESS_MODE_1=[FALSE:1]
  • PCOMMA_DETECT_0=[TRUE:1]
  • PCOMMA_DETECT_1=[TRUE:1]
  • PDELIDLE_DRP_EN_0=[FALSE:1]
  • PDELIDLE_DRP_EN_1=[FALSE:1]
  • PHASEALIGN_DRP_EN_0=[FALSE:1]
  • PHASEALIGN_DRP_EN_1=[FALSE:1]
  • PLL_DIVSEL_FB_0=[2:1]
  • PLL_DIVSEL_FB_1=[2:1]
  • PLL_DIVSEL_REF_0=[1:1]
  • PLL_DIVSEL_REF_1=[1:1]
  • PLL_DRP_EN_0=[FALSE:1]
  • PLL_DRP_EN_1=[FALSE:1]
  • PLL_RXDIVSEL_OUT_0=[1:1]
  • PLL_RXDIVSEL_OUT_1=[2:1]
  • PLL_SATA_0=[FALSE:1]
  • PLL_SATA_1=[FALSE:1]
  • PLL_SOURCE_0=[PLL0:1]
  • PLL_SOURCE_1=[PLL1:1]
  • PLL_STARTUP_EN_0=[TRUE:1]
  • PLL_STARTUP_EN_1=[TRUE:1]
  • PLL_TXDIVSEL_OUT_0=[1:1]
  • PLL_TXDIVSEL_OUT_1=[2:1]
  • POLARITY_DRP_EN_0=[FALSE:1]
  • POLARITY_DRP_EN_1=[FALSE:1]
  • PRBS_DRP_EN_0=[FALSE:1]
  • PRBS_DRP_EN_1=[FALSE:1]
  • RCV_TERM_GND_0=[FALSE:1]
  • RCV_TERM_GND_1=[FALSE:1]
  • RCV_TERM_VTTRX_0=[TRUE:1]
  • RCV_TERM_VTTRX_1=[TRUE:1]
  • RESET_DRP_EN_0=[FALSE:1]
  • RESET_DRP_EN_1=[FALSE:1]
  • RXEQ_DRP_EN_0=[FALSE:1]
  • RXEQ_DRP_EN_1=[FALSE:1]
  • RXUSRCLK0=[RXUSRCLK0:1] [RXUSRCLK0_INV:0]
  • RXUSRCLK1=[RXUSRCLK1:1] [RXUSRCLK1_INV:0]
  • RXUSRCLK20=[RXUSRCLK20:1] [RXUSRCLK20_INV:0]
  • RXUSRCLK21=[RXUSRCLK21:1] [RXUSRCLK21_INV:0]
  • RX_BUFFER_USE_0=[TRUE:1]
  • RX_BUFFER_USE_1=[TRUE:1]
  • RX_CDR_FORCE_ROTATE_0=[FALSE:1]
  • RX_CDR_FORCE_ROTATE_1=[FALSE:1]
  • RX_DECODE_SEQ_MATCH_0=[TRUE:1]
  • RX_DECODE_SEQ_MATCH_1=[TRUE:1]
  • RX_EN_IDLE_HOLD_CDR_0=[TRUE:1]
  • RX_EN_IDLE_HOLD_CDR_1=[TRUE:1]
  • RX_EN_IDLE_RESET_BUF_0=[TRUE:1]
  • RX_EN_IDLE_RESET_BUF_1=[TRUE:1]
  • RX_EN_IDLE_RESET_FR_0=[TRUE:1]
  • RX_EN_IDLE_RESET_FR_1=[TRUE:1]
  • RX_EN_IDLE_RESET_PH_0=[TRUE:1]
  • RX_EN_IDLE_RESET_PH_1=[TRUE:1]
  • RX_EN_MODE_RESET_BUF_0=[FALSE:1]
  • RX_EN_MODE_RESET_BUF_1=[FALSE:1]
  • RX_LOSS_OF_SYNC_FSM_0=[FALSE:1]
  • RX_LOSS_OF_SYNC_FSM_1=[FALSE:1]
  • RX_LOS_INVALID_INCR_0=[8:1]
  • RX_LOS_INVALID_INCR_1=[8:1]
  • RX_LOS_THRESHOLD_0=[128:1]
  • RX_LOS_THRESHOLD_1=[128:1]
  • RX_SLIDE_MODE_0=[PCS:1]
  • RX_SLIDE_MODE_1=[PCS:1]
  • RX_STATUS_FMT_0=[PCIE:1]
  • RX_STATUS_FMT_1=[PCIE:1]
  • RX_XCLK_SEL_0=[RXREC:1]
  • RX_XCLK_SEL_1=[RXREC:1]
  • SATA_MAX_BURST_0=[9:1]
  • SATA_MAX_BURST_1=[9:1]
  • SATA_MAX_INIT_0=[27:1]
  • SATA_MAX_INIT_1=[27:1]
  • SATA_MAX_WAKE_0=[9:1]
  • SATA_MAX_WAKE_1=[9:1]
  • SATA_MIN_BURST_0=[5:1]
  • SATA_MIN_BURST_1=[5:1]
  • SATA_MIN_INIT_0=[15:1]
  • SATA_MIN_INIT_1=[15:1]
  • SATA_MIN_WAKE_0=[5:1]
  • SATA_MIN_WAKE_1=[5:1]
  • TERMINATION_OVRD_0=[FALSE:1]
  • TERMINATION_OVRD_1=[FALSE:1]
  • TSTCLK0=[TSTCLK0:1] [TSTCLK0_INV:0]
  • TSTCLK1=[TSTCLK1_INV:0] [TSTCLK1:1]
  • TXDRIVE_DRP_EN_0=[FALSE:1]
  • TXDRIVE_DRP_EN_1=[FALSE:1]
  • TXUSRCLK0=[TXUSRCLK0_INV:0] [TXUSRCLK0:1]
  • TXUSRCLK1=[TXUSRCLK1:1] [TXUSRCLK1_INV:0]
  • TXUSRCLK20=[TXUSRCLK20_INV:0] [TXUSRCLK20:1]
  • TXUSRCLK21=[TXUSRCLK21_INV:0] [TXUSRCLK21:1]
  • TX_BUFFER_USE_0=[TRUE:1]
  • TX_BUFFER_USE_1=[TRUE:1]
  • TX_XCLK_SEL_0=[TXOUT:1]
  • TX_XCLK_SEL_1=[TXOUT:1]
ILOGIC2
  • CLK0=[CLK0_INV:0] [CLK0:16]
  • CLK1=[CLK1:0] [CLK1_INV:1]
ILOGIC2_IFF
  • CLK0=[CLK0_INV:0] [CLK0:16]
  • CLK1=[CLK1:0] [CLK1_INV:1]
  • DDR_ALIGNMENT=[NONE:1]
  • IFFTYPE=[FF:15] [DDR:1]
  • SAME_EDGE_PIPELINED=[FALSE:1]
  • SRINIT_Q=[0:16]
  • SRTYPE_Q=[ASYNC:2] [SYNC:1]
IOBM_OUTBUF
  • SUSPEND=[3STATE:13]
IOB_INBUF
  • DIFF_TERM=[TRUE:14]
IOB_OUTBUF
  • DRIVEATTRBOX=[2:13] [12:14]
  • OUT_TERM=[UNTUNED_50:10]
  • SLEW=[SLOW:17] [FAST:10]
  • SUSPEND=[3STATE:27]
LUT_OR_MEM5
  • CLK=[CLK:12] [CLK_INV:0]
  • LUT_OR_MEM=[RAM:12]
  • RAMMODE=[SRL16:12]
LUT_OR_MEM6
  • CLK=[CLK:28] [CLK_INV:0]
  • LUT_OR_MEM=[LUT:2] [RAM:28]
  • RAMMODE=[SRL16:27] [SRL32:1]
OLOGIC2
  • CLK0=[CLK0_INV:0] [CLK0:3]
  • CLK1=[CLK1:0] [CLK1_INV:2]
OLOGIC2_OUTFF
  • CK0=[CK0_INV:0] [CK0:3]
  • CK1=[CK1_INV:2] [CK1:0]
  • DDR_ALIGNMENT=[NONE:2]
  • OUTFFTYPE=[FF:1] [DDR:2]
  • SRINIT_OQ=[0:3]
  • SRTYPE_OQ=[ASYNC:1] [SYNC:2]
OLOGIC2_TFF
  • CK0=[CK0_INV:0] [CK0:12]
  • CK1=[CK1_INV:12] [CK1:0]
  • SRINIT_TQ=[1:12]
  • SRTYPE_TQ=[ASYNC:12]
  • TDDR_ALIGNMENT=[NONE:12]
  • TFFTYPE=[DDR:12]
PULL_OR_KEEP1
  • PULLTYPE=[PULLUP:21] [PULLDOWN:1]
RAMB16BWER
  • CLKA=[CLKA_INV:0] [CLKA:37]
  • CLKB=[CLKB_INV:0] [CLKB:37]
  • ENA=[ENA_INV:0] [ENA:37]
  • ENB=[ENB_INV:0] [ENB:37]
  • REGCEA=[REGCEA_INV:0] [REGCEA:37]
  • REGCEB=[REGCEB_INV:0] [REGCEB:37]
  • RSTA=[RSTA:37] [RSTA_INV:0]
  • RSTB=[RSTB:37] [RSTB_INV:0]
  • WEA0=[WEA0:37] [WEA0_INV:0]
  • WEA1=[WEA1:37] [WEA1_INV:0]
  • WEA2=[WEA2:37] [WEA2_INV:0]
  • WEA3=[WEA3_INV:0] [WEA3:37]
  • WEB0=[WEB0:37] [WEB0_INV:0]
  • WEB1=[WEB1:37] [WEB1_INV:0]
  • WEB2=[WEB2_INV:0] [WEB2:37]
  • WEB3=[WEB3:37] [WEB3_INV:0]
RAMB16BWER_RAMB16BWER
  • CLKA=[CLKA_INV:0] [CLKA:37]
  • CLKB=[CLKB_INV:0] [CLKB:37]
  • DATA_WIDTH_A=[1:2] [2:32] [4:2] [9:1]
  • DATA_WIDTH_B=[2:32] [4:2] [9:1] [36:2]
  • DOA_REG=[0:37]
  • DOB_REG=[0:37]
  • ENA=[ENA_INV:0] [ENA:37]
  • ENB=[ENB_INV:0] [ENB:37]
  • EN_RSTRAM_A=[FALSE:1] [TRUE:36]
  • EN_RSTRAM_B=[TRUE:37]
  • RAM_MODE=[TDP:37]
  • REGCEA=[REGCEA_INV:0] [REGCEA:37]
  • REGCEB=[REGCEB_INV:0] [REGCEB:37]
  • RSTA=[RSTA:37] [RSTA_INV:0]
  • RSTB=[RSTB:37] [RSTB_INV:0]
  • RSTTYPE=[SYNC:37]
  • RST_PRIORITY_A=[CE:37]
  • RST_PRIORITY_B=[CE:37]
  • WEA0=[WEA0:37] [WEA0_INV:0]
  • WEA1=[WEA1:37] [WEA1_INV:0]
  • WEA2=[WEA2:37] [WEA2_INV:0]
  • WEA3=[WEA3_INV:0] [WEA3:37]
  • WEB0=[WEB0:37] [WEB0_INV:0]
  • WEB1=[WEB1:37] [WEB1_INV:0]
  • WEB2=[WEB2_INV:0] [WEB2:37]
  • WEB3=[WEB3:37] [WEB3_INV:0]
  • WRITE_MODE_A=[WRITE_FIRST:36] [READ_FIRST:1]
  • WRITE_MODE_B=[WRITE_FIRST:36] [READ_FIRST:1]
RAMB8BWER
  • CLKAWRCLK=[CLKAWRCLK:1] [CLKAWRCLK_INV:0]
  • CLKBRDCLK=[CLKBRDCLK_INV:0] [CLKBRDCLK:1]
  • ENAWREN=[ENAWREN:1] [ENAWREN_INV:0]
  • ENBRDEN=[ENBRDEN_INV:0] [ENBRDEN:1]
  • REGCEA=[REGCEA_INV:0] [REGCEA:1]
  • REGCEBREGCE=[REGCEBREGCE_INV:0] [REGCEBREGCE:1]
  • RSTA=[RSTA:1] [RSTA_INV:0]
  • RSTBRST=[RSTBRST:1] [RSTBRST_INV:0]
  • WEAWEL0=[WEAWEL0:1] [WEAWEL0_INV:0]
  • WEAWEL1=[WEAWEL1_INV:0] [WEAWEL1:1]
  • WEBWEU0=[WEBWEU0:1] [WEBWEU0_INV:0]
  • WEBWEU1=[WEBWEU1:1] [WEBWEU1_INV:0]
RAMB8BWER_RAMB8BWER
  • CLKAWRCLK=[CLKAWRCLK:1] [CLKAWRCLK_INV:0]
  • CLKBRDCLK=[CLKBRDCLK_INV:0] [CLKBRDCLK:1]
  • DATA_WIDTH_A=[9:1]
  • DATA_WIDTH_B=[9:1]
  • DOA_REG=[0:1]
  • DOB_REG=[0:1]
  • ENAWREN=[ENAWREN:1] [ENAWREN_INV:0]
  • ENBRDEN=[ENBRDEN_INV:0] [ENBRDEN:1]
  • EN_RSTRAM_A=[FALSE:1]
  • EN_RSTRAM_B=[FALSE:1]
  • RAM_MODE=[TDP:1]
  • REGCEA=[REGCEA_INV:0] [REGCEA:1]
  • REGCEBREGCE=[REGCEBREGCE_INV:0] [REGCEBREGCE:1]
  • RSTA=[RSTA:1] [RSTA_INV:0]
  • RSTBRST=[RSTBRST:1] [RSTBRST_INV:0]
  • RSTTYPE=[SYNC:1]
  • RST_PRIORITY_A=[CE:1]
  • RST_PRIORITY_B=[CE:1]
  • WEAWEL0=[WEAWEL0:1] [WEAWEL0_INV:0]
  • WEAWEL1=[WEAWEL1_INV:0] [WEAWEL1:1]
  • WEBWEU0=[WEBWEU0:1] [WEBWEU0_INV:0]
  • WEBWEU1=[WEBWEU1:1] [WEBWEU1_INV:0]
  • WRITE_MODE_A=[WRITE_FIRST:1]
  • WRITE_MODE_B=[WRITE_FIRST:1]
REG_SR
  • CK=[CK:4203] [CK_INV:12]
  • LATCH_OR_FF=[FF:4212] [LATCH:3]
  • SRINIT=[SRINIT0:4079] [SRINIT1:136]
  • SYNC_ATTR=[ASYNC:3271] [SYNC:944]
SLICEL
  • CLK=[CLK:126] [CLK_INV:1]
SLICEM
  • CLK=[CLK:14] [CLK_INV:0]
SLICEX
  • CLK=[CLK:1351] [CLK_INV:8]
 
Pin Data
BSCAN
  • DRCK=1
  • SEL=1
  • SHIFT=1
  • TDI=1
  • TDO=1
  • UPDATE=1
BSCAN_BSCAN
  • DRCK=1
  • SEL=1
  • SHIFT=1
  • TDI=1
  • TDO=1
  • UPDATE=1
BUFDS
  • I=1
  • IB=1
  • O=1
BUFDS_BUFDS
  • I=1
  • IB=1
  • O=1
BUFG
  • I0=7
  • O=7
BUFG_BUFG
  • I0=7
  • O=7
BUFIO2
  • DIVCLK=2
  • I=2
BUFIO2FB
  • I=1
  • O=1
BUFIO2FB_BUFIO2FB
  • I=1
  • O=1
BUFIO2_BUFIO2
  • DIVCLK=2
  • I=2
CARRY4
  • CIN=102
  • CO0=1
  • CO1=19
  • CO2=13
  • CO3=103
  • CYINIT=53
  • DI0=148
  • DI1=148
  • DI2=135
  • DI3=103
  • O0=107
  • O1=100
  • O2=100
  • O3=97
  • S0=155
  • S1=148
  • S2=138
  • S3=122
DCM
  • CLK0=2
  • CLK2X=1
  • CLKDV=1
  • CLKFB=2
  • CLKFX=1
  • CLKIN=2
  • LOCKED=2
  • PSCLK=2
  • PSEN=2
  • PSINCDEC=2
  • RST=2
  • STATUS1=1
DCM_DCM
  • CLK0=2
  • CLK2X=1
  • CLKDV=1
  • CLKFB=2
  • CLKFX=1
  • CLKIN=2
  • LOCKED=2
  • PSCLK=2
  • PSEN=2
  • PSINCDEC=2
  • RST=2
  • STATUS1=1
DNA_PORT
  • CLK=1
  • DIN=1
  • DOUT=1
  • READ=1
  • SHIFT=1
DNA_PORT_DNA_PORT
  • CLK=1
  • DIN=1
  • DOUT=1
  • READ=1
  • SHIFT=1
FF_SR
  • CE=553
  • CK=944
  • D=944
  • Q=944
  • SR=196
GTPA1_DUAL
  • CLK00=1
  • CLK01=1
  • DADDR0=1
  • DADDR1=1
  • DADDR2=1
  • DADDR3=1
  • DADDR4=1
  • DADDR5=1
  • DADDR6=1
  • DADDR7=1
  • DCLK=1
  • DEN=1
  • DI0=1
  • DI1=1
  • DI10=1
  • DI11=1
  • DI12=1
  • DI13=1
  • DI14=1
  • DI15=1
  • DI2=1
  • DI3=1
  • DI4=1
  • DI5=1
  • DI6=1
  • DI7=1
  • DI8=1
  • DI9=1
  • DWE=1
  • GATERXELECIDLE0=1
  • GATERXELECIDLE1=1
  • GTPCLKFBSEL0EAST0=1
  • GTPCLKFBSEL0EAST1=1
  • GTPCLKFBSEL0WEST0=1
  • GTPCLKFBSEL0WEST1=1
  • GTPCLKFBSEL1EAST0=1
  • GTPCLKFBSEL1EAST1=1
  • GTPCLKFBSEL1WEST0=1
  • GTPCLKFBSEL1WEST1=1
  • GTPCLKOUT10=1
  • GTPRESET0=1
  • GTPRESET1=1
  • GTPTEST00=1
  • GTPTEST01=1
  • GTPTEST02=1
  • GTPTEST03=1
  • GTPTEST04=1
  • GTPTEST05=1
  • GTPTEST06=1
  • GTPTEST07=1
  • GTPTEST10=1
  • GTPTEST11=1
  • GTPTEST12=1
  • GTPTEST13=1
  • GTPTEST14=1
  • GTPTEST15=1
  • GTPTEST16=1
  • GTPTEST17=1
  • IGNORESIGDET0=1
  • IGNORESIGDET1=1
  • INTDATAWIDTH0=1
  • INTDATAWIDTH1=1
  • LOOPBACK00=1
  • LOOPBACK01=1
  • LOOPBACK02=1
  • LOOPBACK10=1
  • LOOPBACK11=1
  • LOOPBACK12=1
  • PLLLKDET1=1
  • PLLLKDETEN0=1
  • PLLLKDETEN1=1
  • PLLPOWERDOWN0=1
  • PLLPOWERDOWN1=1
  • PRBSCNTRESET0=1
  • PRBSCNTRESET1=1
  • REFCLKPWRDNB0=1
  • REFCLKPWRDNB1=1
  • REFSELDYPLL00=1
  • REFSELDYPLL01=1
  • REFSELDYPLL02=1
  • REFSELDYPLL10=1
  • REFSELDYPLL11=1
  • REFSELDYPLL12=1
  • RXBUFRESET0=1
  • RXBUFRESET1=1
  • RXBUFSTATUS12=1
  • RXBYTEISALIGNED0=1
  • RXCDRRESET0=1
  • RXCDRRESET1=1
  • RXCHARISCOMMA00=1
  • RXCHARISCOMMA10=1
  • RXCHARISK00=1
  • RXCHARISK01=1
  • RXCHARISK10=1
  • RXCHBONDMASTER0=1
  • RXCHBONDMASTER1=1
  • RXCHBONDSLAVE0=1
  • RXCHBONDSLAVE1=1
  • RXCOMMADETUSE0=1
  • RXCOMMADETUSE1=1
  • RXDATA00=1
  • RXDATA01=1
  • RXDATA010=1
  • RXDATA011=1
  • RXDATA012=1
  • RXDATA013=1
  • RXDATA014=1
  • RXDATA015=1
  • RXDATA02=1
  • RXDATA03=1
  • RXDATA04=1
  • RXDATA05=1
  • RXDATA06=1
  • RXDATA07=1
  • RXDATA08=1
  • RXDATA09=1
  • RXDATA10=1
  • RXDATA11=1
  • RXDATA12=1
  • RXDATA13=1
  • RXDATA14=1
  • RXDATA15=1
  • RXDATA16=1
  • RXDATA17=1
  • RXDATAWIDTH00=1
  • RXDATAWIDTH01=1
  • RXDATAWIDTH10=1
  • RXDATAWIDTH11=1
  • RXDEC8B10BUSE0=1
  • RXDEC8B10BUSE1=1
  • RXDISPERR10=1
  • RXENCHANSYNC0=1
  • RXENCHANSYNC1=1
  • RXENMCOMMAALIGN0=1
  • RXENMCOMMAALIGN1=1
  • RXENPCOMMAALIGN0=1
  • RXENPCOMMAALIGN1=1
  • RXENPMAPHASEALIGN0=1
  • RXENPMAPHASEALIGN1=1
  • RXENPRBSTST00=1
  • RXENPRBSTST01=1
  • RXENPRBSTST02=1
  • RXENPRBSTST10=1
  • RXENPRBSTST11=1
  • RXENPRBSTST12=1
  • RXEQMIX00=1
  • RXEQMIX01=1
  • RXEQMIX10=1
  • RXEQMIX11=1
  • RXN0=1
  • RXN1=1
  • RXNOTINTABLE00=1
  • RXNOTINTABLE01=1
  • RXNOTINTABLE10=1
  • RXP0=1
  • RXP1=1
  • RXPMASETPHASE0=1
  • RXPMASETPHASE1=1
  • RXPOLARITY0=1
  • RXPOLARITY1=1
  • RXPOWERDOWN00=1
  • RXPOWERDOWN01=1
  • RXPOWERDOWN10=1
  • RXPOWERDOWN11=1
  • RXRESET0=1
  • RXRESET1=1
  • RXSLIDE0=1
  • RXSLIDE1=1
  • RXUSRCLK0=1
  • RXUSRCLK1=1
  • RXUSRCLK20=1
  • RXUSRCLK21=1
  • TSTCLK0=1
  • TSTCLK1=1
  • TSTIN00=1
  • TSTIN01=1
  • TSTIN010=1
  • TSTIN011=1
  • TSTIN02=1
  • TSTIN03=1
  • TSTIN04=1
  • TSTIN05=1
  • TSTIN06=1
  • TSTIN07=1
  • TSTIN08=1
  • TSTIN09=1
  • TSTIN10=1
  • TSTIN11=1
  • TSTIN110=1
  • TSTIN111=1
  • TSTIN12=1
  • TSTIN13=1
  • TSTIN14=1
  • TSTIN15=1
  • TSTIN16=1
  • TSTIN17=1
  • TSTIN18=1
  • TSTIN19=1
  • TXBUFDIFFCTRL00=1
  • TXBUFDIFFCTRL01=1
  • TXBUFDIFFCTRL02=1
  • TXBUFDIFFCTRL10=1
  • TXBUFDIFFCTRL11=1
  • TXBUFDIFFCTRL12=1
  • TXBUFSTATUS11=1
  • TXBYPASS8B10B00=1
  • TXBYPASS8B10B01=1
  • TXBYPASS8B10B02=1
  • TXBYPASS8B10B03=1
  • TXBYPASS8B10B10=1
  • TXBYPASS8B10B11=1
  • TXBYPASS8B10B12=1
  • TXBYPASS8B10B13=1
  • TXCHARDISPMODE00=1
  • TXCHARDISPMODE01=1
  • TXCHARDISPMODE02=1
  • TXCHARDISPMODE03=1
  • TXCHARDISPMODE10=1
  • TXCHARDISPMODE11=1
  • TXCHARDISPMODE12=1
  • TXCHARDISPMODE13=1
  • TXCHARDISPVAL00=1
  • TXCHARDISPVAL01=1
  • TXCHARDISPVAL02=1
  • TXCHARDISPVAL03=1
  • TXCHARDISPVAL10=1
  • TXCHARDISPVAL11=1
  • TXCHARDISPVAL12=1
  • TXCHARDISPVAL13=1
  • TXCHARISK00=1
  • TXCHARISK01=1
  • TXCHARISK02=1
  • TXCHARISK03=1
  • TXCHARISK10=1
  • TXCHARISK11=1
  • TXCHARISK12=1
  • TXCHARISK13=1
  • TXCOMSTART0=1
  • TXCOMSTART1=1
  • TXCOMTYPE0=1
  • TXCOMTYPE1=1
  • TXDATA00=1
  • TXDATA01=1
  • TXDATA010=1
  • TXDATA011=1
  • TXDATA012=1
  • TXDATA013=1
  • TXDATA014=1
  • TXDATA015=1
  • TXDATA016=1
  • TXDATA017=1
  • TXDATA018=1
  • TXDATA019=1
  • TXDATA02=1
  • TXDATA020=1
  • TXDATA021=1
  • TXDATA022=1
  • TXDATA023=1
  • TXDATA024=1
  • TXDATA025=1
  • TXDATA026=1
  • TXDATA027=1
  • TXDATA028=1
  • TXDATA029=1
  • TXDATA03=1
  • TXDATA030=1
  • TXDATA031=1
  • TXDATA04=1
  • TXDATA05=1
  • TXDATA06=1
  • TXDATA07=1
  • TXDATA08=1
  • TXDATA09=1
  • TXDATA10=1
  • TXDATA11=1
  • TXDATA110=1
  • TXDATA111=1
  • TXDATA112=1
  • TXDATA113=1
  • TXDATA114=1
  • TXDATA115=1
  • TXDATA116=1
  • TXDATA117=1
  • TXDATA118=1
  • TXDATA119=1
  • TXDATA12=1
  • TXDATA120=1
  • TXDATA121=1
  • TXDATA122=1
  • TXDATA123=1
  • TXDATA124=1
  • TXDATA125=1
  • TXDATA126=1
  • TXDATA127=1
  • TXDATA128=1
  • TXDATA129=1
  • TXDATA13=1
  • TXDATA130=1
  • TXDATA131=1
  • TXDATA14=1
  • TXDATA15=1
  • TXDATA16=1
  • TXDATA17=1
  • TXDATA18=1
  • TXDATA19=1
  • TXDATAWIDTH00=1
  • TXDATAWIDTH01=1
  • TXDATAWIDTH10=1
  • TXDATAWIDTH11=1
  • TXDETECTRX0=1
  • TXDETECTRX1=1
  • TXDIFFCTRL00=1
  • TXDIFFCTRL01=1
  • TXDIFFCTRL02=1
  • TXDIFFCTRL03=1
  • TXDIFFCTRL10=1
  • TXDIFFCTRL11=1
  • TXDIFFCTRL12=1
  • TXDIFFCTRL13=1
  • TXELECIDLE0=1
  • TXELECIDLE1=1
  • TXENC8B10BUSE0=1
  • TXENC8B10BUSE1=1
  • TXENPMAPHASEALIGN0=1
  • TXENPMAPHASEALIGN1=1
  • TXENPRBSTST00=1
  • TXENPRBSTST01=1
  • TXENPRBSTST02=1
  • TXENPRBSTST10=1
  • TXENPRBSTST11=1
  • TXENPRBSTST12=1
  • TXINHIBIT0=1
  • TXINHIBIT1=1
  • TXN0=1
  • TXN1=1
  • TXP0=1
  • TXP1=1
  • TXPDOWNASYNCH0=1
  • TXPDOWNASYNCH1=1
  • TXPMASETPHASE0=1
  • TXPMASETPHASE1=1
  • TXPOLARITY0=1
  • TXPOLARITY1=1
  • TXPOWERDOWN00=1
  • TXPOWERDOWN01=1
  • TXPOWERDOWN10=1
  • TXPOWERDOWN11=1
  • TXPRBSFORCEERR0=1
  • TXPRBSFORCEERR1=1
  • TXPREEMPHASIS00=1
  • TXPREEMPHASIS01=1
  • TXPREEMPHASIS02=1
  • TXPREEMPHASIS10=1
  • TXPREEMPHASIS11=1
  • TXPREEMPHASIS12=1
  • TXRESET0=1
  • TXRESET1=1
  • TXUSRCLK0=1
  • TXUSRCLK1=1
  • TXUSRCLK20=1
  • TXUSRCLK21=1
  • USRCODEERR0=1
  • USRCODEERR1=1
GTPA1_DUAL_GTPA1_DUAL
  • CLK00=1
  • CLK01=1
  • DADDR0=1
  • DADDR1=1
  • DADDR2=1
  • DADDR3=1
  • DADDR4=1
  • DADDR5=1
  • DADDR6=1
  • DADDR7=1
  • DCLK=1
  • DEN=1
  • DI0=1
  • DI1=1
  • DI10=1
  • DI11=1
  • DI12=1
  • DI13=1
  • DI14=1
  • DI15=1
  • DI2=1
  • DI3=1
  • DI4=1
  • DI5=1
  • DI6=1
  • DI7=1
  • DI8=1
  • DI9=1
  • DWE=1
  • GATERXELECIDLE0=1
  • GATERXELECIDLE1=1
  • GTPCLKFBSEL0EAST0=1
  • GTPCLKFBSEL0EAST1=1
  • GTPCLKFBSEL0WEST0=1
  • GTPCLKFBSEL0WEST1=1
  • GTPCLKFBSEL1EAST0=1
  • GTPCLKFBSEL1EAST1=1
  • GTPCLKFBSEL1WEST0=1
  • GTPCLKFBSEL1WEST1=1
  • GTPCLKOUT10=1
  • GTPRESET0=1
  • GTPRESET1=1
  • GTPTEST00=1
  • GTPTEST01=1
  • GTPTEST02=1
  • GTPTEST03=1
  • GTPTEST04=1
  • GTPTEST05=1
  • GTPTEST06=1
  • GTPTEST07=1
  • GTPTEST10=1
  • GTPTEST11=1
  • GTPTEST12=1
  • GTPTEST13=1
  • GTPTEST14=1
  • GTPTEST15=1
  • GTPTEST16=1
  • GTPTEST17=1
  • IGNORESIGDET0=1
  • IGNORESIGDET1=1
  • INTDATAWIDTH0=1
  • INTDATAWIDTH1=1
  • LOOPBACK00=1
  • LOOPBACK01=1
  • LOOPBACK02=1
  • LOOPBACK10=1
  • LOOPBACK11=1
  • LOOPBACK12=1
  • PLLLKDET1=1
  • PLLLKDETEN0=1
  • PLLLKDETEN1=1
  • PLLPOWERDOWN0=1
  • PLLPOWERDOWN1=1
  • PRBSCNTRESET0=1
  • PRBSCNTRESET1=1
  • REFCLKPWRDNB0=1
  • REFCLKPWRDNB1=1
  • REFSELDYPLL00=1
  • REFSELDYPLL01=1
  • REFSELDYPLL02=1
  • REFSELDYPLL10=1
  • REFSELDYPLL11=1
  • REFSELDYPLL12=1
  • RXBUFRESET0=1
  • RXBUFRESET1=1
  • RXBUFSTATUS12=1
  • RXBYTEISALIGNED0=1
  • RXCDRRESET0=1
  • RXCDRRESET1=1
  • RXCHARISCOMMA00=1
  • RXCHARISCOMMA10=1
  • RXCHARISK00=1
  • RXCHARISK01=1
  • RXCHARISK10=1
  • RXCHBONDMASTER0=1
  • RXCHBONDMASTER1=1
  • RXCHBONDSLAVE0=1
  • RXCHBONDSLAVE1=1
  • RXCOMMADETUSE0=1
  • RXCOMMADETUSE1=1
  • RXDATA00=1
  • RXDATA01=1
  • RXDATA010=1
  • RXDATA011=1
  • RXDATA012=1
  • RXDATA013=1
  • RXDATA014=1
  • RXDATA015=1
  • RXDATA02=1
  • RXDATA03=1
  • RXDATA04=1
  • RXDATA05=1
  • RXDATA06=1
  • RXDATA07=1
  • RXDATA08=1
  • RXDATA09=1
  • RXDATA10=1
  • RXDATA11=1
  • RXDATA12=1
  • RXDATA13=1
  • RXDATA14=1
  • RXDATA15=1
  • RXDATA16=1
  • RXDATA17=1
  • RXDATAWIDTH00=1
  • RXDATAWIDTH01=1
  • RXDATAWIDTH10=1
  • RXDATAWIDTH11=1
  • RXDEC8B10BUSE0=1
  • RXDEC8B10BUSE1=1
  • RXDISPERR10=1
  • RXENCHANSYNC0=1
  • RXENCHANSYNC1=1
  • RXENMCOMMAALIGN0=1
  • RXENMCOMMAALIGN1=1
  • RXENPCOMMAALIGN0=1
  • RXENPCOMMAALIGN1=1
  • RXENPMAPHASEALIGN0=1
  • RXENPMAPHASEALIGN1=1
  • RXENPRBSTST00=1
  • RXENPRBSTST01=1
  • RXENPRBSTST02=1
  • RXENPRBSTST10=1
  • RXENPRBSTST11=1
  • RXENPRBSTST12=1
  • RXEQMIX00=1
  • RXEQMIX01=1
  • RXEQMIX10=1
  • RXEQMIX11=1
  • RXN0=1
  • RXN1=1
  • RXNOTINTABLE00=1
  • RXNOTINTABLE01=1
  • RXNOTINTABLE10=1
  • RXP0=1
  • RXP1=1
  • RXPMASETPHASE0=1
  • RXPMASETPHASE1=1
  • RXPOLARITY0=1
  • RXPOLARITY1=1
  • RXPOWERDOWN00=1
  • RXPOWERDOWN01=1
  • RXPOWERDOWN10=1
  • RXPOWERDOWN11=1
  • RXRESET0=1
  • RXRESET1=1
  • RXSLIDE0=1
  • RXSLIDE1=1
  • RXUSRCLK0=1
  • RXUSRCLK1=1
  • RXUSRCLK20=1
  • RXUSRCLK21=1
  • TSTCLK0=1
  • TSTCLK1=1
  • TSTIN00=1
  • TSTIN01=1
  • TSTIN010=1
  • TSTIN011=1
  • TSTIN02=1
  • TSTIN03=1
  • TSTIN04=1
  • TSTIN05=1
  • TSTIN06=1
  • TSTIN07=1
  • TSTIN08=1
  • TSTIN09=1
  • TSTIN10=1
  • TSTIN11=1
  • TSTIN110=1
  • TSTIN111=1
  • TSTIN12=1
  • TSTIN13=1
  • TSTIN14=1
  • TSTIN15=1
  • TSTIN16=1
  • TSTIN17=1
  • TSTIN18=1
  • TSTIN19=1
  • TXBUFDIFFCTRL00=1
  • TXBUFDIFFCTRL01=1
  • TXBUFDIFFCTRL02=1
  • TXBUFDIFFCTRL10=1
  • TXBUFDIFFCTRL11=1
  • TXBUFDIFFCTRL12=1
  • TXBUFSTATUS11=1
  • TXBYPASS8B10B00=1
  • TXBYPASS8B10B01=1
  • TXBYPASS8B10B02=1
  • TXBYPASS8B10B03=1
  • TXBYPASS8B10B10=1
  • TXBYPASS8B10B11=1
  • TXBYPASS8B10B12=1
  • TXBYPASS8B10B13=1
  • TXCHARDISPMODE00=1
  • TXCHARDISPMODE01=1
  • TXCHARDISPMODE02=1
  • TXCHARDISPMODE03=1
  • TXCHARDISPMODE10=1
  • TXCHARDISPMODE11=1
  • TXCHARDISPMODE12=1
  • TXCHARDISPMODE13=1
  • TXCHARDISPVAL00=1
  • TXCHARDISPVAL01=1
  • TXCHARDISPVAL02=1
  • TXCHARDISPVAL03=1
  • TXCHARDISPVAL10=1
  • TXCHARDISPVAL11=1
  • TXCHARDISPVAL12=1
  • TXCHARDISPVAL13=1
  • TXCHARISK00=1
  • TXCHARISK01=1
  • TXCHARISK02=1
  • TXCHARISK03=1
  • TXCHARISK10=1
  • TXCHARISK11=1
  • TXCHARISK12=1
  • TXCHARISK13=1
  • TXCOMSTART0=1
  • TXCOMSTART1=1
  • TXCOMTYPE0=1
  • TXCOMTYPE1=1
  • TXDATA00=1
  • TXDATA01=1
  • TXDATA010=1
  • TXDATA011=1
  • TXDATA012=1
  • TXDATA013=1
  • TXDATA014=1
  • TXDATA015=1
  • TXDATA016=1
  • TXDATA017=1
  • TXDATA018=1
  • TXDATA019=1
  • TXDATA02=1
  • TXDATA020=1
  • TXDATA021=1
  • TXDATA022=1
  • TXDATA023=1
  • TXDATA024=1
  • TXDATA025=1
  • TXDATA026=1
  • TXDATA027=1
  • TXDATA028=1
  • TXDATA029=1
  • TXDATA03=1
  • TXDATA030=1
  • TXDATA031=1
  • TXDATA04=1
  • TXDATA05=1
  • TXDATA06=1
  • TXDATA07=1
  • TXDATA08=1
  • TXDATA09=1
  • TXDATA10=1
  • TXDATA11=1
  • TXDATA110=1
  • TXDATA111=1
  • TXDATA112=1
  • TXDATA113=1
  • TXDATA114=1
  • TXDATA115=1
  • TXDATA116=1
  • TXDATA117=1
  • TXDATA118=1
  • TXDATA119=1
  • TXDATA12=1
  • TXDATA120=1
  • TXDATA121=1
  • TXDATA122=1
  • TXDATA123=1
  • TXDATA124=1
  • TXDATA125=1
  • TXDATA126=1
  • TXDATA127=1
  • TXDATA128=1
  • TXDATA129=1
  • TXDATA13=1
  • TXDATA130=1
  • TXDATA131=1
  • TXDATA14=1
  • TXDATA15=1
  • TXDATA16=1
  • TXDATA17=1
  • TXDATA18=1
  • TXDATA19=1
  • TXDATAWIDTH00=1
  • TXDATAWIDTH01=1
  • TXDATAWIDTH10=1
  • TXDATAWIDTH11=1
  • TXDETECTRX0=1
  • TXDETECTRX1=1
  • TXDIFFCTRL00=1
  • TXDIFFCTRL01=1
  • TXDIFFCTRL02=1
  • TXDIFFCTRL03=1
  • TXDIFFCTRL10=1
  • TXDIFFCTRL11=1
  • TXDIFFCTRL12=1
  • TXDIFFCTRL13=1
  • TXELECIDLE0=1
  • TXELECIDLE1=1
  • TXENC8B10BUSE0=1
  • TXENC8B10BUSE1=1
  • TXENPMAPHASEALIGN0=1
  • TXENPMAPHASEALIGN1=1
  • TXENPRBSTST00=1
  • TXENPRBSTST01=1
  • TXENPRBSTST02=1
  • TXENPRBSTST10=1
  • TXENPRBSTST11=1
  • TXENPRBSTST12=1
  • TXINHIBIT0=1
  • TXINHIBIT1=1
  • TXN0=1
  • TXN1=1
  • TXP0=1
  • TXP1=1
  • TXPDOWNASYNCH0=1
  • TXPDOWNASYNCH1=1
  • TXPMASETPHASE0=1
  • TXPMASETPHASE1=1
  • TXPOLARITY0=1
  • TXPOLARITY1=1
  • TXPOWERDOWN00=1
  • TXPOWERDOWN01=1
  • TXPOWERDOWN10=1
  • TXPOWERDOWN11=1
  • TXPRBSFORCEERR0=1
  • TXPRBSFORCEERR1=1
  • TXPREEMPHASIS00=1
  • TXPREEMPHASIS01=1
  • TXPREEMPHASIS02=1
  • TXPREEMPHASIS10=1
  • TXPREEMPHASIS11=1
  • TXPREEMPHASIS12=1
  • TXRESET0=1
  • TXRESET1=1
  • TXUSRCLK0=1
  • TXUSRCLK1=1
  • TXUSRCLK20=1
  • TXUSRCLK21=1
  • USRCODEERR0=1
  • USRCODEERR1=1
HARD0
  • 0=41
HARD1
  • 1=23
ICAP
  • CE=1
  • CLK=1
  • I0=1
  • I1=1
  • I10=1
  • I11=1
  • I12=1
  • I13=1
  • I14=1
  • I15=1
  • I2=1
  • I3=1
  • I4=1
  • I5=1
  • I6=1
  • I7=1
  • I8=1
  • I9=1
  • WRITE=1
ICAP_ICAP
  • CE=1
  • CLK=1
  • I0=1
  • I1=1
  • I10=1
  • I11=1
  • I12=1
  • I13=1
  • I14=1
  • I15=1
  • I2=1
  • I3=1
  • I4=1
  • I5=1
  • I6=1
  • I7=1
  • I8=1
  • I9=1
  • WRITE=1
ILOGIC2
  • CE0=1
  • CLK0=16
  • CLK1=1
  • D=16
  • FABRICOUT=15
  • Q3=1
  • Q4=15
  • REV=1
  • SR=3
ILOGIC2_IFF
  • CE0=1
  • CLK0=16
  • CLK1=1
  • D=16
  • Q1=15
  • Q2=1
  • REV=1
  • SR=3
INVERTER
  • IN=1
  • OUT=1
IOB
  • DIFFI_IN=14
  • I=37
  • O=27
  • PAD=76
  • PADOUT=14
  • T=5
IOBM
  • DIFFO_OUT=13
  • O=13
  • PAD=13
  • T=12
IOBM_OUTBUF
  • IN=13
  • OUT=13
  • OUTN=13
  • TRI=12
IOBS
  • DIFFO_IN=13
  • PAD=13
IOB_IMUX
  • I=36
  • I_B=1
  • OUT=37
IOB_INBUF
  • DIFFI_IN=14
  • OUT=37
  • PAD=37
IOB_OUTBUF
  • IN=27
  • OUT=27
  • TRI=5
IPAD
  • O=6
  • PAD=6
IPAD_IPAD
  • I=6
  • O=6
IPAD_PAD
  • PAD=6
LUT5
  • A1=348
  • A2=632
  • A3=911
  • A4=637
  • A5=911
  • O5=1647
LUT6
  • A1=1808
  • A2=2558
  • A3=3272
  • A4=4044
  • A5=3985
  • A6=4198
  • O6=4228
LUT_OR_MEM5
  • A1=12
  • A2=12
  • A3=12
  • A4=12
  • A5=12
  • CLK=12
  • DI1=12
  • O5=12
  • WE=12
LUT_OR_MEM6
  • A1=28
  • A2=30
  • A3=30
  • A4=30
  • A5=30
  • A6=30
  • CLK=28
  • DI1=1
  • DI2=27
  • O6=30
  • WE=28
NULLMUX
  • 0=27
  • OUT=27
OLOGIC2
  • CLK0=3
  • CLK1=2
  • D1=3
  • D2=2
  • OCE=2
  • OQ=3
  • SR=3
OLOGIC2_OUTFF
  • CE=2
  • CK0=3
  • CK1=2
  • D1=3
  • D2=2
  • Q=3
  • SR=3
OLOGIC2_T1USED
  • 0=12
  • OUT=12
OLOGIC2_TFF
  • CE=12
  • CK0=12
  • CK1=12
  • D1=12
  • D2=12
  • Q=12
  • SR=12
OPAD
  • I=4
  • PAD=4
OPAD_OPAD
  • I=4
  • O=4
OPAD_PAD
  • PAD=4
PAD
  • PAD=102
PULL_OR_KEEP1
  • PAD=22
RAMB16BWER
  • ADDRA0=3
  • ADDRA1=35
  • ADDRA10=37
  • ADDRA11=37
  • ADDRA12=37
  • ADDRA13=37
  • ADDRA2=37
  • ADDRA3=37
  • ADDRA4=37
  • ADDRA5=37
  • ADDRA6=37
  • ADDRA7=37
  • ADDRA8=37
  • ADDRA9=37
  • ADDRB0=3
  • ADDRB1=35
  • ADDRB10=37
  • ADDRB11=37
  • ADDRB12=37
  • ADDRB13=37
  • ADDRB2=37
  • ADDRB3=37
  • ADDRB4=37
  • ADDRB5=37
  • ADDRB6=37
  • ADDRB7=37
  • ADDRB8=37
  • ADDRB9=37
  • CLKA=37
  • CLKB=37
  • DIA0=37
  • DIA1=37
  • DIA10=3
  • DIA11=3
  • DIA12=3
  • DIA13=3
  • DIA14=3
  • DIA15=3
  • DIA16=3
  • DIA17=3
  • DIA18=3
  • DIA19=3
  • DIA2=5
  • DIA20=3
  • DIA21=3
  • DIA22=3
  • DIA23=3
  • DIA24=3
  • DIA25=3
  • DIA26=3
  • DIA27=3
  • DIA28=3
  • DIA29=3
  • DIA3=5
  • DIA30=3
  • DIA31=3
  • DIA4=3
  • DIA5=3
  • DIA6=3
  • DIA7=3
  • DIA8=3
  • DIA9=3
  • DIB0=3
  • DIB1=3
  • DIB10=3
  • DIB11=3
  • DIB12=3
  • DIB13=3
  • DIB14=3
  • DIB15=3
  • DIB16=3
  • DIB17=3
  • DIB18=3
  • DIB19=3
  • DIB2=3
  • DIB20=3
  • DIB21=3
  • DIB22=3
  • DIB23=3
  • DIB24=3
  • DIB25=3
  • DIB26=3
  • DIB27=3
  • DIB28=3
  • DIB29=3
  • DIB3=3
  • DIB30=3
  • DIB31=3
  • DIB4=3
  • DIB5=3
  • DIB6=3
  • DIB7=3
  • DIB8=3
  • DIB9=3
  • DIPA0=3
  • DIPA1=3
  • DIPA2=3
  • DIPA3=3
  • DIPB0=3
  • DIPB1=3
  • DIPB2=3
  • DIPB3=3
  • DOA0=1
  • DOB0=37
  • DOB1=37
  • DOB10=2
  • DOB11=2
  • DOB12=2
  • DOB13=2
  • DOB14=2
  • DOB15=2
  • DOB16=2
  • DOB17=2
  • DOB18=2
  • DOB19=2
  • DOB2=5
  • DOB20=2
  • DOB21=2
  • DOB22=2
  • DOB23=2
  • DOB24=2
  • DOB25=2
  • DOB26=2
  • DOB27=2
  • DOB28=2
  • DOB29=2
  • DOB3=5
  • DOB30=2
  • DOB31=2
  • DOB4=3
  • DOB5=3
  • DOB6=3
  • DOB7=3
  • DOB8=2
  • DOB9=2
  • DOPB0=1
  • ENA=37
  • ENB=37
  • REGCEA=37
  • REGCEB=37
  • RSTA=37
  • RSTB=37
  • WEA0=37
  • WEA1=37
  • WEA2=37
  • WEA3=37
  • WEB0=37
  • WEB1=37
  • WEB2=37
  • WEB3=37
RAMB16BWER_RAMB16BWER
  • ADDRA0=3
  • ADDRA1=35
  • ADDRA10=37
  • ADDRA11=37
  • ADDRA12=37
  • ADDRA13=37
  • ADDRA2=37
  • ADDRA3=37
  • ADDRA4=37
  • ADDRA5=37
  • ADDRA6=37
  • ADDRA7=37
  • ADDRA8=37
  • ADDRA9=37
  • ADDRB0=3
  • ADDRB1=35
  • ADDRB10=37
  • ADDRB11=37
  • ADDRB12=37
  • ADDRB13=37
  • ADDRB2=37
  • ADDRB3=37
  • ADDRB4=37
  • ADDRB5=37
  • ADDRB6=37
  • ADDRB7=37
  • ADDRB8=37
  • ADDRB9=37
  • CLKA=37
  • CLKB=37
  • DIA0=37
  • DIA1=37
  • DIA10=3
  • DIA11=3
  • DIA12=3
  • DIA13=3
  • DIA14=3
  • DIA15=3
  • DIA16=3
  • DIA17=3
  • DIA18=3
  • DIA19=3
  • DIA2=5
  • DIA20=3
  • DIA21=3
  • DIA22=3
  • DIA23=3
  • DIA24=3
  • DIA25=3
  • DIA26=3
  • DIA27=3
  • DIA28=3
  • DIA29=3
  • DIA3=5
  • DIA30=3
  • DIA31=3
  • DIA4=3
  • DIA5=3
  • DIA6=3
  • DIA7=3
  • DIA8=3
  • DIA9=3
  • DIB0=3
  • DIB1=3
  • DIB10=3
  • DIB11=3
  • DIB12=3
  • DIB13=3
  • DIB14=3
  • DIB15=3
  • DIB16=3
  • DIB17=3
  • DIB18=3
  • DIB19=3
  • DIB2=3
  • DIB20=3
  • DIB21=3
  • DIB22=3
  • DIB23=3
  • DIB24=3
  • DIB25=3
  • DIB26=3
  • DIB27=3
  • DIB28=3
  • DIB29=3
  • DIB3=3
  • DIB30=3
  • DIB31=3
  • DIB4=3
  • DIB5=3
  • DIB6=3
  • DIB7=3
  • DIB8=3
  • DIB9=3
  • DIPA0=3
  • DIPA1=3
  • DIPA2=3
  • DIPA3=3
  • DIPB0=3
  • DIPB1=3
  • DIPB2=3
  • DIPB3=3
  • DOA0=1
  • DOB0=37
  • DOB1=37
  • DOB10=2
  • DOB11=2
  • DOB12=2
  • DOB13=2
  • DOB14=2
  • DOB15=2
  • DOB16=2
  • DOB17=2
  • DOB18=2
  • DOB19=2
  • DOB2=5
  • DOB20=2
  • DOB21=2
  • DOB22=2
  • DOB23=2
  • DOB24=2
  • DOB25=2
  • DOB26=2
  • DOB27=2
  • DOB28=2
  • DOB29=2
  • DOB3=5
  • DOB30=2
  • DOB31=2
  • DOB4=3
  • DOB5=3
  • DOB6=3
  • DOB7=3
  • DOB8=2
  • DOB9=2
  • DOPB0=1
  • ENA=37
  • ENB=37
  • REGCEA=37
  • REGCEB=37
  • RSTA=37
  • RSTB=37
  • WEA0=37
  • WEA1=37
  • WEA2=37
  • WEA3=37
  • WEB0=37
  • WEB1=37
  • WEB2=37
  • WEB3=37
RAMB8BWER
  • ADDRAWRADDR0=1
  • ADDRAWRADDR1=1
  • ADDRAWRADDR10=1
  • ADDRAWRADDR11=1
  • ADDRAWRADDR12=1
  • ADDRAWRADDR2=1
  • ADDRAWRADDR3=1
  • ADDRAWRADDR4=1
  • ADDRAWRADDR5=1
  • ADDRAWRADDR6=1
  • ADDRAWRADDR7=1
  • ADDRAWRADDR8=1
  • ADDRAWRADDR9=1
  • ADDRBRDADDR0=1
  • ADDRBRDADDR1=1
  • ADDRBRDADDR10=1
  • ADDRBRDADDR11=1
  • ADDRBRDADDR12=1
  • ADDRBRDADDR2=1
  • ADDRBRDADDR3=1
  • ADDRBRDADDR4=1
  • ADDRBRDADDR5=1
  • ADDRBRDADDR6=1
  • ADDRBRDADDR7=1
  • ADDRBRDADDR8=1
  • ADDRBRDADDR9=1
  • CLKAWRCLK=1
  • CLKBRDCLK=1
  • DIADI0=1
  • DIADI1=1
  • DIADI10=1
  • DIADI11=1
  • DIADI12=1
  • DIADI13=1
  • DIADI14=1
  • DIADI15=1
  • DIADI2=1
  • DIADI3=1
  • DIADI4=1
  • DIADI5=1
  • DIADI6=1
  • DIADI7=1
  • DIADI8=1
  • DIADI9=1
  • DIBDI0=1
  • DIBDI1=1
  • DIBDI10=1
  • DIBDI11=1
  • DIBDI12=1
  • DIBDI13=1
  • DIBDI14=1
  • DIBDI15=1
  • DIBDI2=1
  • DIBDI3=1
  • DIBDI4=1
  • DIBDI5=1
  • DIBDI6=1
  • DIBDI7=1
  • DIBDI8=1
  • DIBDI9=1
  • DIPADIP0=1
  • DIPADIP1=1
  • DIPBDIP0=1
  • DIPBDIP1=1
  • DOADO0=1
  • DOADO1=1
  • DOADO2=1
  • DOADO3=1
  • DOADO4=1
  • DOADO5=1
  • DOADO6=1
  • DOADO7=1
  • DOPADOP0=1
  • ENAWREN=1
  • ENBRDEN=1
  • REGCEA=1
  • REGCEBREGCE=1
  • RSTA=1
  • RSTBRST=1
  • WEAWEL0=1
  • WEAWEL1=1
  • WEBWEU0=1
  • WEBWEU1=1
RAMB8BWER_RAMB8BWER
  • ADDRAWRADDR0=1
  • ADDRAWRADDR1=1
  • ADDRAWRADDR10=1
  • ADDRAWRADDR11=1
  • ADDRAWRADDR12=1
  • ADDRAWRADDR2=1
  • ADDRAWRADDR3=1
  • ADDRAWRADDR4=1
  • ADDRAWRADDR5=1
  • ADDRAWRADDR6=1
  • ADDRAWRADDR7=1
  • ADDRAWRADDR8=1
  • ADDRAWRADDR9=1
  • ADDRBRDADDR0=1
  • ADDRBRDADDR1=1
  • ADDRBRDADDR10=1
  • ADDRBRDADDR11=1
  • ADDRBRDADDR12=1
  • ADDRBRDADDR2=1
  • ADDRBRDADDR3=1
  • ADDRBRDADDR4=1
  • ADDRBRDADDR5=1
  • ADDRBRDADDR6=1
  • ADDRBRDADDR7=1
  • ADDRBRDADDR8=1
  • ADDRBRDADDR9=1
  • CLKAWRCLK=1
  • CLKBRDCLK=1
  • DIADI0=1
  • DIADI1=1
  • DIADI10=1
  • DIADI11=1
  • DIADI12=1
  • DIADI13=1
  • DIADI14=1
  • DIADI15=1
  • DIADI2=1
  • DIADI3=1
  • DIADI4=1
  • DIADI5=1
  • DIADI6=1
  • DIADI7=1
  • DIADI8=1
  • DIADI9=1
  • DIBDI0=1
  • DIBDI1=1
  • DIBDI10=1
  • DIBDI11=1
  • DIBDI12=1
  • DIBDI13=1
  • DIBDI14=1
  • DIBDI15=1
  • DIBDI2=1
  • DIBDI3=1
  • DIBDI4=1
  • DIBDI5=1
  • DIBDI6=1
  • DIBDI7=1
  • DIBDI8=1
  • DIBDI9=1
  • DIPADIP0=1
  • DIPADIP1=1
  • DIPBDIP0=1
  • DIPBDIP1=1
  • DOADO0=1
  • DOADO1=1
  • DOADO2=1
  • DOADO3=1
  • DOADO4=1
  • DOADO5=1
  • DOADO6=1
  • DOADO7=1
  • DOPADOP0=1
  • ENAWREN=1
  • ENBRDEN=1
  • REGCEA=1
  • REGCEBREGCE=1
  • RSTA=1
  • RSTBRST=1
  • WEAWEL0=1
  • WEAWEL1=1
  • WEBWEU0=1
  • WEBWEU1=1
REG_SR
  • CE=1568
  • CK=4215
  • D=4215
  • Q=4215
  • SR=1066
SELMUX2_1
  • 0=82
  • 1=51
  • OUT=82
  • S0=82
SLICEL
  • A=9
  • A1=69
  • A2=90
  • A3=96
  • A4=155
  • A5=123
  • A6=172
  • AMUX=28
  • AQ=103
  • AX=76
  • B=17
  • B1=46
  • B2=63
  • B3=73
  • B4=152
  • B5=124
  • B6=173
  • BMUX=52
  • BQ=105
  • BX=60
  • C=1
  • C1=65
  • C2=84
  • C3=91
  • C4=148
  • C5=127
  • C6=175
  • CE=53
  • CIN=102
  • CLK=127
  • CMUX=62
  • COUT=102
  • CQ=110
  • CX=93
  • D=4
  • D1=67
  • D2=85
  • D3=93
  • D4=148
  • D5=128
  • D6=153
  • DMUX=37
  • DQ=103
  • DX=58
  • SR=84
SLICEM
  • A=3
  • A1=6
  • A2=7
  • A3=7
  • A4=7
  • A5=7
  • A6=7
  • AI=6
  • AMUX=3
  • AQ=5
  • AX=4
  • B=2
  • B1=6
  • B2=7
  • B3=7
  • B4=7
  • B5=7
  • B6=7
  • BI=6
  • BMUX=3
  • BQ=6
  • BX=4
  • C=3
  • C1=7
  • C2=7
  • C3=7
  • C4=7
  • C5=7
  • C6=7
  • CE=14
  • CI=7
  • CLK=14
  • CMUX=3
  • CQ=5
  • CX=3
  • D=5
  • D1=9
  • D2=9
  • D3=9
  • D4=9
  • D5=9
  • D6=9
  • DI=8
  • DMUX=3
  • DQ=4
  • DX=4
  • SR=3
  • WE=1
SLICEX
  • A=605
  • A1=539
  • A2=801
  • A3=975
  • A4=1010
  • A5=1065
  • A6=1034
  • AMUX=326
  • AQ=1121
  • AX=463
  • B=487
  • B1=495
  • B2=723
  • B3=878
  • B4=900
  • B5=945
  • B6=912
  • BMUX=318
  • BQ=945
  • BX=354
  • C=426
  • C1=392
  • C2=598
  • C3=762
  • C4=779
  • C5=824
  • C6=791
  • CE=409
  • CLK=1359
  • CMUX=310
  • CQ=866
  • CX=341
  • D=412
  • D1=425
  • D2=589
  • D3=744
  • D4=770
  • D5=811
  • D6=788
  • DMUX=297
  • DQ=842
  • DX=339
  • SR=289
 
Tool Usage
Command Line History
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -sd <dname> -sd <dname> -nt timestamp -a -uc <fname>.ucf -p xa7a100t-csg324-2I <fname>.ngc <fname>.ngd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -sd <dname> -sd <dname> -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -sd <dname> -sd <dname> -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -sd <dname> -sd <dname> -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -sd <dname> -sd <dname> -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -sd <dname> -sd <dname> -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -sd <dname> -sd <dname> -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -sd <dname> -sd <dname> -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -sd <dname> -sd <dname> -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -sd <dname> -sd <dname> -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -sd <dname> -sd <dname> -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -sd <dname> -sd <dname> -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -sd <dname> -sd <dname> -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -sd <dname> -sd <dname> -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -sd <dname> -sd <dname> -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -sd <dname> -sd <dname> -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -sd <dname> -sd <dname> -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -sd <dname> -sd <dname> -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -a -uc <fname>.ucf -p xc6slx45t-fgg484-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
 
Software Quality
Run Statistics
Program NameRuns StartedRuns FinishedErrorsFatal ErrorsInternal ErrorsExceptionsCore Dumps
_impact 88 61 0 0 0 0 0
bitgen 397 397 0 0 0 0 0
edif2ngd 2 2 0 0 0 0 0
map 534 496 0 0 0 0 0
netgen 3 3 0 0 0 0 0
ngc2edif 87 87 0 0 0 0 0
ngcbuild 414 413 0 0 0 0 0
ngdbuild 588 586 0 0 0 0 0
par 496 487 6 0 0 0 0
reportgen 62 62 0 0 0 0 0
trce 480 480 0 0 0 0 0
xst 1197 1176 0 0 0 0 0
 
Help Statistics
Help files
/doc/usenglish/isehelp/cgn_c_cust_gui_overview.htm ( 1 ) /doc/usenglish/isehelp/ite_c_overview.htm ( 2 )
/doc/usenglish/isehelp/pp_db_configuration_options.htm ( 1 ) /doc/usenglish/isehelp/pp_db_general_options.htm ( 1 )
 
Project Statistics
PROPEXT_xilxMapPackRegInto_spartan6=For Inputs and Outputs PROP_Enable_Message_Filtering=false
PROP_FitterReportFormat=HTML PROP_LastAppliedGoal=Balanced
PROP_LastAppliedStrategy=Xilinx Default (unlocked) PROP_ManualCompileOrderImp=false
PROP_MapLogicOptimization_spartan6=true PROP_PropSpecInProjFile=Store all values
PROP_SelectedInstanceHierarchicalPath=/testbench PROP_Simulator=ISim (VHDL/Verilog)
PROP_SynthTopFile=changed PROP_Top_Level_Module_Type=HDL
PROP_UseSmartGuide=false PROP_UserConstraintEditorPreference=Text Editor
PROP_intProjectCreationTimestamp=2013-11-06T14:30:41 PROP_intWbtProjectID=40E25351B6D240859876D098FB7227EF
PROP_intWbtProjectIteration=64 PROP_intWorkingDirLocWRTProjDir=Same
PROP_intWorkingDirUsed=No PROP_selectedSimRootSourceNode_behav=work.testbench
PROP_xilxBitgStart_Clk_DriveDone=true PROP_xilxBitgStart_IntDone=true
PROP_xilxMapAllowLogicOpt=true PROP_xilxMapReportDetail=true
PROP_xilxNgdbldIOPads=true PROP_xilxSynthKeepHierarchy=Yes
PROP_xstEquivRegRemoval=false PROP_AutoTop=true
PROP_DevFamily=Spartan6 PROP_MapRegDuplication_spartan6=On
PROP_xilxBitgCfg_GenOpt_RetryConfigCRCError_spartan6=true PROP_xilxMapEnableMultiThreading=2
PROP_DevDevice=xc6slx45t PROP_DevFamilyPMName=spartan6
PROP_DevPackage=fgg484 PROP_Synthesis_Tool=XST (VHDL/Verilog)
PROP_DevSpeed=-2 PROP_PreferredLanguage=VHDL
FILE_COREGEN=2 FILE_NGC=2
FILE_UCF=1 FILE_VHDL=42
 
Core Statistics
Core Type=fifo_generator_v8_2
c_add_ngc_constraint=0 c_application_type_axis=0 c_application_type_rach=0 c_application_type_rdch=0
c_application_type_wach=0 c_application_type_wdch=0 c_application_type_wrch=0 c_axi_addr_width=32
c_axi_aruser_width=1 c_axi_awuser_width=1 c_axi_buser_width=1 c_axi_data_width=64
c_axi_id_width=4 c_axi_ruser_width=1 c_axi_type=0 c_axi_wuser_width=1
c_axis_tdata_width=64 c_axis_tdest_width=4 c_axis_tid_width=8 c_axis_tkeep_width=4
c_axis_tstrb_width=4 c_axis_tuser_width=4 c_axis_type=0 c_common_clock=1
c_count_type=0 c_data_count_width=12 c_default_value=BlankString c_din_width=9
c_din_width_axis=1 c_din_width_rach=32 c_din_width_rdch=64 c_din_width_wach=32
c_din_width_wdch=64 c_din_width_wrch=2 c_dout_rst_val=0 c_dout_width=9
c_enable_rlocs=0 c_enable_rst_sync=1 c_error_injection_type=0 c_error_injection_type_axis=0
c_error_injection_type_rach=0 c_error_injection_type_rdch=0 c_error_injection_type_wach=0 c_error_injection_type_wdch=0
c_error_injection_type_wrch=0 c_family=spartan6 c_full_flags_rst_val=0 c_has_almost_empty=0
c_has_almost_full=0 c_has_axi_aruser=0 c_has_axi_awuser=0 c_has_axi_buser=0
c_has_axi_rd_channel=0 c_has_axi_ruser=0 c_has_axi_wr_channel=0 c_has_axi_wuser=0
c_has_axis_tdata=0 c_has_axis_tdest=0 c_has_axis_tid=0 c_has_axis_tkeep=0
c_has_axis_tlast=0 c_has_axis_tready=1 c_has_axis_tstrb=0 c_has_axis_tuser=0
c_has_backup=0 c_has_data_count=0 c_has_data_counts_axis=0 c_has_data_counts_rach=0
c_has_data_counts_rdch=0 c_has_data_counts_wach=0 c_has_data_counts_wdch=0 c_has_data_counts_wrch=0
c_has_int_clk=0 c_has_master_ce=0 c_has_meminit_file=0 c_has_overflow=0
c_has_prog_flags_axis=0 c_has_prog_flags_rach=0 c_has_prog_flags_rdch=0 c_has_prog_flags_wach=0
c_has_prog_flags_wdch=0 c_has_prog_flags_wrch=0 c_has_rd_data_count=0 c_has_rd_rst=0
c_has_rst=0 c_has_slave_ce=0 c_has_srst=1 c_has_underflow=0
c_has_valid=0 c_has_wr_ack=0 c_has_wr_data_count=0 c_has_wr_rst=0
c_implementation_type=0 c_implementation_type_axis=1 c_implementation_type_rach=1 c_implementation_type_rdch=1
c_implementation_type_wach=1 c_implementation_type_wdch=1 c_implementation_type_wrch=1 c_init_wr_pntr_val=0
c_interface_type=0 c_memory_type=1 c_mif_file_name=BlankString c_msgon_val=1
c_optimization_mode=0 c_overflow_low=0 c_preload_latency=0 c_preload_regs=1
c_prim_fifo_type=2kx9 c_prog_empty_thresh_assert_val=4 c_prog_empty_thresh_assert_val_axis=1022 c_prog_empty_thresh_assert_val_rach=1022
c_prog_empty_thresh_assert_val_rdch=1022 c_prog_empty_thresh_assert_val_wach=1022 c_prog_empty_thresh_assert_val_wdch=1022 c_prog_empty_thresh_assert_val_wrch=1022
c_prog_empty_thresh_negate_val=5 c_prog_empty_type=0 c_prog_empty_type_axis=5 c_prog_empty_type_rach=5
c_prog_empty_type_rdch=5 c_prog_empty_type_wach=5 c_prog_empty_type_wdch=5 c_prog_empty_type_wrch=5
c_prog_full_thresh_assert_val=2047 c_prog_full_thresh_assert_val_axis=1023 c_prog_full_thresh_assert_val_rach=1023 c_prog_full_thresh_assert_val_rdch=1023
c_prog_full_thresh_assert_val_wach=1023 c_prog_full_thresh_assert_val_wdch=1023 c_prog_full_thresh_assert_val_wrch=1023 c_prog_full_thresh_negate_val=2046
c_prog_full_type=0 c_prog_full_type_axis=5 c_prog_full_type_rach=5 c_prog_full_type_rdch=5
c_prog_full_type_wach=5 c_prog_full_type_wdch=5 c_prog_full_type_wrch=5 c_rach_type=0
c_rd_data_count_width=12 c_rd_depth=2048 c_rd_freq=1 c_rd_pntr_width=11
c_rdch_type=0 c_reg_slice_mode_axis=0 c_reg_slice_mode_rach=0 c_reg_slice_mode_rdch=0
c_reg_slice_mode_wach=0 c_reg_slice_mode_wdch=0 c_reg_slice_mode_wrch=0 c_underflow_low=0
c_use_common_overflow=0 c_use_common_underflow=0 c_use_default_settings=0 c_use_dout_rst=0
c_use_ecc=0 c_use_ecc_axis=0 c_use_ecc_rach=0 c_use_ecc_rdch=0
c_use_ecc_wach=0 c_use_ecc_wdch=0 c_use_ecc_wrch=0 c_use_embedded_reg=0
c_use_fifo16_flags=0 c_use_fwft_data_count=1 c_valid_low=0 c_wach_type=0
c_wdch_type=0 c_wr_ack_low=0 c_wr_data_count_width=12 c_wr_depth=2048
c_wr_depth_axis=1024 c_wr_depth_rach=16 c_wr_depth_rdch=1024 c_wr_depth_wach=16
c_wr_depth_wdch=1024 c_wr_depth_wrch=16 c_wr_freq=1 c_wr_pntr_width=11
c_wr_pntr_width_axis=10 c_wr_pntr_width_rach=4 c_wr_pntr_width_rdch=10 c_wr_pntr_width_wach=4
c_wr_pntr_width_wdch=10 c_wr_pntr_width_wrch=4 c_wr_response_latency=1 c_wrch_type=0
Core Type=s6_gtpwizard_v1_5
gtp0_protocol_file=Start_from_scratch gtp1_protocol_file=gigabit_ethernet
Core Type=gig_eth_pcs_pma_v11_1
c_component_name=gig_eth_pcs_pma_v11_1 c_dynamic_switching=false c_elaboration_transient_dir=masked_value c_family=spartan6
c_has_an=false c_has_mdio=false c_is_sgmii=false c_sgmii_fabric_buffer=true
c_sgmii_phy_mode=false c_transceiver_mode=B c_use_lvds=false c_use_tbi=false
c_use_transceiver=true
 
Unisim Statistics
XST_UNISIM_SUMMARY
XST_NUM_BUFG=1 XST_NUM_BUFIO2=1 XST_NUM_IBUFDS=15 XST_NUM_IBUFG=1
XST_NUM_IBUFGDS=4 XST_NUM_IDDR2=1 XST_NUM_IOBUF=4 XST_NUM_OBUFDS=1
XST_NUM_OBUFTDS=12 XST_NUM_ODDR2=2 XST_NUM_RAMB16BWER=2 XST_NUM_ROM32X1=1
XST_NUM_SRL16=1 XST_NUM_SRL16E=18 XST_NUM_SRLC32E=1
NGDBUILD_PRE_UNISIM_SUMMARY
NGDBUILD_NUM_BUFG=11 NGDBUILD_NUM_BUFIO2=1 NGDBUILD_NUM_DCM_SP=6 NGDBUILD_NUM_DNA_PORT=1
NGDBUILD_NUM_FD=2535 NGDBUILD_NUM_FDC=62 NGDBUILD_NUM_FDCE=37 NGDBUILD_NUM_FDE=1370
NGDBUILD_NUM_FDP=21 NGDBUILD_NUM_FDPE=24 NGDBUILD_NUM_FDR=418 NGDBUILD_NUM_FDRE=665
NGDBUILD_NUM_FDR_1=8 NGDBUILD_NUM_FDS=16 NGDBUILD_NUM_FDSE=38 NGDBUILD_NUM_FD_1=1
NGDBUILD_NUM_GND=22 NGDBUILD_NUM_GTPA1_DUAL=1 NGDBUILD_NUM_IBUF=19 NGDBUILD_NUM_IBUFDS=15
NGDBUILD_NUM_IBUFG=2 NGDBUILD_NUM_IBUFGDS=4 NGDBUILD_NUM_ICAP_SPARTAN6=1 NGDBUILD_NUM_IDDR2=1
NGDBUILD_NUM_INV=90 NGDBUILD_NUM_IOBUF=4 NGDBUILD_NUM_LD=3 NGDBUILD_NUM_LUT1=226
NGDBUILD_NUM_LUT2=1020 NGDBUILD_NUM_LUT3=976 NGDBUILD_NUM_LUT4=599 NGDBUILD_NUM_LUT5=752
NGDBUILD_NUM_LUT6=1738 NGDBUILD_NUM_MUXCY=534 NGDBUILD_NUM_MUXF7=45 NGDBUILD_NUM_MUXF8=6
NGDBUILD_NUM_OBUF=26 NGDBUILD_NUM_OBUFDS=1 NGDBUILD_NUM_OBUFT=1 NGDBUILD_NUM_OBUFTDS=12
NGDBUILD_NUM_ODDR2=2 NGDBUILD_NUM_RAMB16BWER=37 NGDBUILD_NUM_ROM32X1=1 NGDBUILD_NUM_SRL16=4
NGDBUILD_NUM_SRL16E=18 NGDBUILD_NUM_SRLC16E=23 NGDBUILD_NUM_SRLC32E=1 NGDBUILD_NUM_VCC=27
NGDBUILD_NUM_XORCY=404
NGDBUILD_POST_UNISIM_SUMMARY
NGDBUILD_NUM_BUFG=11 NGDBUILD_NUM_BUFIO2=1 NGDBUILD_NUM_DCM_SP=6 NGDBUILD_NUM_DNA_PORT=1
NGDBUILD_NUM_FD=2535 NGDBUILD_NUM_FDC=62 NGDBUILD_NUM_FDCE=37 NGDBUILD_NUM_FDE=1370
NGDBUILD_NUM_FDP=21 NGDBUILD_NUM_FDPE=24 NGDBUILD_NUM_FDR=418 NGDBUILD_NUM_FDRE=665
NGDBUILD_NUM_FDR_1=8 NGDBUILD_NUM_FDS=16 NGDBUILD_NUM_FDSE=38 NGDBUILD_NUM_FD_1=1
NGDBUILD_NUM_GND=23 NGDBUILD_NUM_GTPA1_DUAL=1 NGDBUILD_NUM_IBUF=27 NGDBUILD_NUM_IBUFDS=15
NGDBUILD_NUM_IBUFG=2 NGDBUILD_NUM_IBUFGDS=4 NGDBUILD_NUM_ICAP_SPARTAN6=1 NGDBUILD_NUM_IDDR2=1
NGDBUILD_NUM_INV=90 NGDBUILD_NUM_LD=3 NGDBUILD_NUM_LUT1=226 NGDBUILD_NUM_LUT2=1020
NGDBUILD_NUM_LUT3=976 NGDBUILD_NUM_LUT4=599 NGDBUILD_NUM_LUT5=752 NGDBUILD_NUM_LUT6=1738
NGDBUILD_NUM_MUXCY=534 NGDBUILD_NUM_MUXF7=45 NGDBUILD_NUM_MUXF8=6 NGDBUILD_NUM_OBUF=26
NGDBUILD_NUM_OBUFDS=1 NGDBUILD_NUM_OBUFT=5 NGDBUILD_NUM_OBUFTDS=12 NGDBUILD_NUM_ODDR2=2
NGDBUILD_NUM_PULLDOWN=1 NGDBUILD_NUM_PULLUP=21 NGDBUILD_NUM_RAMB16BWER=37 NGDBUILD_NUM_ROM32X1=1
NGDBUILD_NUM_SRL16E=22 NGDBUILD_NUM_SRLC16E=23 NGDBUILD_NUM_SRLC32E=1 NGDBUILD_NUM_TS_TIMESPEC=1
NGDBUILD_NUM_VCC=28 NGDBUILD_NUM_XORCY=404
 
XST Command Line Options
XST_OPTION_SUMMARY
-ifn=<fname>.prj -ofn=<design_top> -ofmt=NGC -p=xc6slx45t-2-fgg484
-top=<design_top> -opt_mode=Speed -opt_level=1 -power=NO
-iuc=NO -keep_hierarchy=Yes -netlist_hierarchy=As_Optimized -rtlview=Yes
-glob_opt=AllClockNets -read_cores=YES -sd=<No customer specific name> -write_timing_constraints=NO
-cross_clock_analysis=NO -bus_delimiter=<> -slice_utilization_ratio=100 -bram_utilization_ratio=100
-dsp_utilization_ratio=100 -reduce_control_sets=Auto -fsm_extract=YES -fsm_encoding=Auto
-safe_implementation=No -fsm_style=LUT -ram_extract=Yes -ram_style=Auto
-rom_extract=Yes -shreg_extract=YES -rom_style=Auto -auto_bram_packing=NO
-resource_sharing=YES -async_to_sync=NO -use_dsp48=Auto -iobuf=YES
-max_fanout=100000 -bufg=16 -register_duplication=YES -register_balancing=No
-optimize_primitives=NO -use_clock_enable=Auto -use_sync_set=Auto -use_sync_reset=Auto
-iob=Auto -equivalent_register_removal=NO -slice_utilization_ratio_maxmargin=5