------------------------------------------------------------------------------- -- Copyright (c) 2014 Xilinx, Inc. -- All Rights Reserved ------------------------------------------------------------------------------- -- ____ ____ -- / /\/ / -- /___/ \ / Vendor : Xilinx -- \ \ \/ Version : 14.7 -- \ \ Application: XILINX CORE Generator -- / / Filename : icon1.vhd -- /___/ /\ Timestamp : Wed May 07 12:09:29 Eastern Daylight Time 2014 -- \ \ / \ -- \___\/\___\ -- -- Design Name: VHDL Synthesis Wrapper ------------------------------------------------------------------------------- -- This wrapper is used to integrate with Project Navigator and PlanAhead LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY icon1 IS port ( CONTROL0: inout std_logic_vector(35 downto 0)); END icon1; ARCHITECTURE icon1_a OF icon1 IS BEGIN END icon1_a;