AMC13_T2 Project Status (09/22/2020 - 23:17:36)
Project File: T2_teststand.xise Parser Errors: No Errors
Module Name: AMC13_T2 Implementation State: Programming File Generated
Target Device: xc6slx45t-2fgg484
  • Errors:
No Errors
Product Version:ISE 14.7
  • Warnings:
1195 Warnings (919 new)
Design Goal: Balanced
  • Routing Results:
All Signals Completely Routed
Design Strategy: Xilinx Default (unlocked)
  • Timing Constraints:
All Constraints Met
Environment: System Settings
  • Final Timing Score:
0  (Timing Report)
 
Device Utilization Summary [-]
Slice Logic UtilizationUsedAvailableUtilizationNote(s)
Number of Slice Registers 5,172 54,576 9%  
    Number used as Flip Flops 5,169      
    Number used as Latches 3      
    Number used as Latch-thrus 0      
    Number used as AND/OR logics 0      
Number of Slice LUTs 4,398 27,288 16%  
    Number used as logic 4,141 27,288 15%  
        Number using O6 output only 2,694      
        Number using O5 output only 216      
        Number using O5 and O6 1,231      
        Number used as ROM 0      
    Number used as Memory 28 6,408 1%  
        Number used as Dual Port RAM 0      
        Number used as Single Port RAM 0      
        Number used as Shift Register 28      
            Number using O6 output only 16      
            Number using O5 output only 0      
            Number using O5 and O6 12      
    Number used exclusively as route-thrus 229      
        Number with same-slice register load 210      
        Number with same-slice carry load 19      
        Number with other load 0      
Number of occupied Slices 1,731 6,822 25%  
Number of MUXCYs used 636 13,644 4%  
Number of LUT Flip Flop pairs used 5,453      
    Number with an unused Flip Flop 1,240 5,453 22%  
    Number with an unused LUT 1,055 5,453 19%  
    Number of fully used LUT-FF pairs 3,158 5,453 57%  
    Number of unique control sets 158      
    Number of slice register sites lost
        to control set restrictions
452 54,576 1%  
Number of bonded IOBs 104 296 35%  
    Number of LOCed IOBs 77 104 74%  
    IOB Flip Flops 19      
    IOB Master Pads 13      
    IOB Slave Pads 13      
    Number of bonded IPADs 6 16 37%  
        Number of LOCed IPADs 6 6 100%  
    Number of bonded OPADs 4 8 50%  
        Number of LOCed OPADs 4 4 100%  
Number of RAMB16BWERs 37 116 31%  
Number of RAMB8BWERs 0 232 0%  
Number of BUFIO2/BUFIO2_2CLKs 2 32 6%  
    Number used as BUFIO2s 2      
    Number used as BUFIO2_2CLKs 0      
Number of BUFIO2FB/BUFIO2FB_2CLKs 1 32 3%  
    Number used as BUFIO2FBs 1      
    Number used as BUFIO2FB_2CLKs 0      
Number of BUFG/BUFGMUXs 7 16 43%  
    Number used as BUFGs 7      
    Number used as BUFGMUX 0      
Number of DCM/DCM_CLKGENs 2 8 25%  
    Number used as DCMs 2      
    Number used as DCM_CLKGENs 0      
Number of ILOGIC2/ISERDES2s 16 376 4%  
    Number used as ILOGIC2s 16      
    Number used as ISERDES2s 0      
Number of IODELAY2/IODRP2/IODRP2_MCBs 0 376 0%  
Number of OLOGIC2/OSERDES2s 3 376 1%  
    Number used as OLOGIC2s 3      
    Number used as OSERDES2s 0      
Number of BSCANs 0 4 0%  
Number of BUFHs 0 256 0%  
Number of BUFPLLs 0 8 0%  
Number of BUFPLL_MCBs 0 4 0%  
Number of DSP48A1s 0 58 0%  
Number of GTPA1_DUALs 1 2 50%  
Number of ICAPs 1 1 100%  
Number of MCBs 0 2 0%  
Number of PCIE_A1s 0 1 0%  
Number of PCILOGICSEs 0 2 0%  
Number of PLL_ADVs 0 4 0%  
Number of PMVs 0 1 0%  
Number of STARTUPs 0 1 0%  
Number of SUSPEND_SYNCs 0 1 0%  
Number of RPM macros 1      
Average Fanout of Non-Clock Nets 3.11      
 
Performance Summary [-]
Final Timing Score: 0 (Setup: 0, Hold: 0, Component Switching Limit: 0) Pinout Data: Pinout Report
Routing Results: All Signals Completely Routed Clock Data: Clock Report
Timing Constraints: All Constraints Met    
 
Detailed Reports [-]
Report NameStatusGenerated ErrorsWarningsInfos
Synthesis ReportCurrentTue Sep 22 23:37:14 20200913 Warnings (909 new)47 Infos (47 new)
Translation ReportCurrentTue Sep 22 23:37:50 20200242 Warnings (8 new)1 Info (1 new)
Map ReportCurrentTue Sep 22 23:46:22 2020026 Warnings (1 new)275 Infos (0 new)
Place and Route ReportCurrentTue Sep 22 23:49:12 202007 Warnings (0 new)1 Info (0 new)
Power Report     
Post-PAR Static Timing ReportCurrentTue Sep 22 23:49:51 202001 Warning (0 new)3 Infos (0 new)
Bitgen ReportCurrentTue Sep 22 23:51:06 202006 Warnings (1 new)1 Info (0 new)
 
Secondary Reports [-]
Report NameStatusGenerated
Physical Synthesis ReportCurrentTue Sep 22 23:46:22 2020
WebTalk ReportOut of DateMon Jul 13 14:49:21 2015
WebTalk Log FileCurrentTue Sep 22 23:51:11 2020

Date Generated: 10/14/2020 - 15:11:38