Release 14.7 Map P.20131013 (lin64) Xilinx Mapping Report File for Design 'AMC13_T2' Design Information ------------------ Command Line : map -intstyle ise -p xc6slx45t-fgg484-2 -w -logic_opt on -ol high -t 1 -xt 0 -register_duplication on -r 4 -global_opt off -mt 2 -detail -ir off -ignore_keep_hierarchy -pr b -lc off -power off -o AMC13_T2_map.ncd AMC13_T2.ngd AMC13_T2.pcf Target Device : xc6slx45t Target Package : fgg484 Target Speed : -2 Mapper Version : spartan6 -- $Revision: 1.55 $ Mapped Date : Tue Sep 22 23:37:48 2020 Design Summary -------------- Number of errors: 0 Number of warnings: 26 Slice Logic Utilization: Number of Slice Registers: 5,172 out of 54,576 9% Number used as Flip Flops: 5,169 Number used as Latches: 3 Number used as Latch-thrus: 0 Number used as AND/OR logics: 0 Number of Slice LUTs: 4,398 out of 27,288 16% Number used as logic: 4,141 out of 27,288 15% Number using O6 output only: 2,694 Number using O5 output only: 216 Number using O5 and O6: 1,231 Number used as ROM: 0 Number used as Memory: 28 out of 6,408 1% Number used as Dual Port RAM: 0 Number used as Single Port RAM: 0 Number used as Shift Register: 28 Number using O6 output only: 16 Number using O5 output only: 0 Number using O5 and O6: 12 Number used exclusively as route-thrus: 229 Number with same-slice register load: 210 Number with same-slice carry load: 19 Number with other load: 0 Slice Logic Distribution: Number of occupied Slices: 1,731 out of 6,822 25% Number of MUXCYs used: 636 out of 13,644 4% Number of LUT Flip Flop pairs used: 5,453 Number with an unused Flip Flop: 1,240 out of 5,453 22% Number with an unused LUT: 1,055 out of 5,453 19% Number of fully used LUT-FF pairs: 3,158 out of 5,453 57% Number of unique control sets: 158 Number of slice register sites lost to control set restrictions: 452 out of 54,576 1% A LUT Flip Flop pair for this architecture represents one LUT paired with one Flip Flop within a slice. A control set is a unique combination of clock, reset, set, and enable signals for a registered element. The Slice Logic Distribution report is not meaningful if the design is over-mapped for a non-slice resource or if Placement fails. IO Utilization: Number of bonded IOBs: 104 out of 296 35% Number of LOCed IOBs: 77 out of 104 74% IOB Flip Flops: 19 IOB Master Pads: 13 IOB Slave Pads: 13 Number of bonded IPADs: 6 out of 16 37% Number of LOCed IPADs: 6 out of 6 100% Number of bonded OPADs: 4 out of 8 50% Number of LOCed OPADs: 4 out of 4 100% Specific Feature Utilization: Number of RAMB16BWERs: 37 out of 116 31% Number of RAMB8BWERs: 0 out of 232 0% Number of BUFIO2/BUFIO2_2CLKs: 2 out of 32 6% Number used as BUFIO2s: 2 Number used as BUFIO2_2CLKs: 0 Number of BUFIO2FB/BUFIO2FB_2CLKs: 1 out of 32 3% Number used as BUFIO2FBs: 1 Number used as BUFIO2FB_2CLKs: 0 Number of BUFG/BUFGMUXs: 7 out of 16 43% Number used as BUFGs: 7 Number used as BUFGMUX: 0 Number of DCM/DCM_CLKGENs: 2 out of 8 25% Number used as DCMs: 2 Number used as DCM_CLKGENs: 0 Number of ILOGIC2/ISERDES2s: 16 out of 376 4% Number used as ILOGIC2s: 16 Number used as ISERDES2s: 0 Number of IODELAY2/IODRP2/IODRP2_MCBs: 0 out of 376 0% Number of OLOGIC2/OSERDES2s: 3 out of 376 1% Number used as OLOGIC2s: 3 Number used as OSERDES2s: 0 Number of BSCANs: 0 out of 4 0% Number of BUFHs: 0 out of 256 0% Number of BUFPLLs: 0 out of 8 0% Number of BUFPLL_MCBs: 0 out of 4 0% Number of DSP48A1s: 0 out of 58 0% Number of GTPA1_DUALs: 1 out of 2 50% Number of ICAPs: 1 out of 1 100% Number of MCBs: 0 out of 2 0% Number of PCIE_A1s: 0 out of 1 0% Number of PCILOGICSEs: 0 out of 2 0% Number of PLL_ADVs: 0 out of 4 0% Number of PMVs: 0 out of 1 0% Number of STARTUPs: 0 out of 1 0% Number of SUSPEND_SYNCs: 0 out of 1 0% Number of RPM macros: 1 Average Fanout of Non-Clock Nets: 3.11 Peak Memory Usage: 1067 MB Total REAL time to MAP completion: 8 mins 24 secs Total CPU time to MAP completion (all processors): 8 mins 6 secs Table of Contents ----------------- Section 1 - Errors Section 2 - Warnings Section 3 - Informational Section 4 - Removed Logic Summary Section 5 - Removed Logic Section 6 - IOB Properties Section 7 - RPMs Section 8 - Guide Report Section 9 - Area Group and Partition Summary Section 10 - Timing Report Section 11 - Configuration String Information Section 12 - Control Set Information Section 13 - Utilization by Hierarchy Section 1 - Errors ------------------ Section 2 - Warnings -------------------- WARNING:Security:42 - Your software subscription period has lapsed. Your current version of Xilinx tools will continue to function, but you no longer qualify for Xilinx software updates or new releases. WARNING:MapLib:701 - Signal TTC_CLK_p<0> connected to top level port TTC_CLK_p<0> has been removed. WARNING:MapLib:701 - Signal TTC_CLK_n<0> connected to top level port TTC_CLK_n<0> has been removed. WARNING:MapLib:701 - Signal TTC_CLK_p<1> connected to top level port TTC_CLK_p<1> has been removed. WARNING:MapLib:701 - Signal TTC_CLK_n<1> connected to top level port TTC_CLK_n<1> has been removed. WARNING:MapLib:701 - Signal TTC_CLK_p<2> connected to top level port TTC_CLK_p<2> has been removed. WARNING:MapLib:701 - Signal TTC_CLK_n<2> connected to top level port TTC_CLK_n<2> has been removed. WARNING:MapLib:701 - Signal TTC_CLK_p<3> connected to top level port TTC_CLK_p<3> has been removed. WARNING:MapLib:701 - Signal TTC_CLK_n<3> connected to top level port TTC_CLK_n<3> has been removed. WARNING:MapLib:41 - All members of TNM group "TTCclk_p" have been optimized out of the design. WARNING:MapLib:50 - The period specification "TS_TTCclk_p" has been discarded because the group "TTCclk_p" has been optimized away. WARNING:MapLib:53 - The offset specification "OFFSET=OUT 10000 pS AFTER ipb_clk" has been discarded because the referenced clock pad net (ipb_clk) was optimized away. WARNING:MapLib:53 - The offset specification "OFFSET=OUT 7200 pS AFTER TTC_CLK_p<0> RISING" has been discarded because the referenced clock pad net (TTC_CLK_p<0>) was optimized away. WARNING:MapLib:53 - The offset specification "OFFSET=OUT 7200 pS AFTER TTC_CLK_p<0> FALLING" has been discarded because the referenced clock pad net (TTC_CLK_p<0>) was optimized away. WARNING:MapLib:53 - The offset specification "OFFSET=OUT 7200 pS AFTER TTC_CLK_p<1> RISING" has been discarded because the referenced clock pad net (TTC_CLK_p<1>) was optimized away. WARNING:MapLib:53 - The offset specification "OFFSET=OUT 7200 pS AFTER TTC_CLK_p<1> FALLING" has been discarded because the referenced clock pad net (TTC_CLK_p<1>) was optimized away. WARNING:MapLib:53 - The offset specification "OFFSET=OUT 7200 pS AFTER TTC_CLK_p<2> RISING" has been discarded because the referenced clock pad net (TTC_CLK_p<2>) was optimized away. WARNING:MapLib:53 - The offset specification "OFFSET=OUT 7200 pS AFTER TTC_CLK_p<2> FALLING" has been discarded because the referenced clock pad net (TTC_CLK_p<2>) was optimized away. WARNING:MapLib:53 - The offset specification "OFFSET=OUT 7200 pS AFTER TTC_CLK_p<3> RISING" has been discarded because the referenced clock pad net (TTC_CLK_p<3>) was optimized away. WARNING:MapLib:53 - The offset specification "OFFSET=OUT 7200 pS AFTER TTC_CLK_p<3> FALLING" has been discarded because the referenced clock pad net (TTC_CLK_p<3>) was optimized away. WARNING:Timing:3223 - Timing constraint TS_TO_TTC_data_1_LD = MAXDELAY TO TIMEGRP "TO_TTC_data_1_LD" TS_TTCclk_dcm DATAPATHONLY ignored during timing analysis. WARNING:PhysDesignRules:372 - Gated clock. Clock net GbEGTPreset is sourced by a combinatorial pin. This is not good design practice. Use the CE pin to control the loading of data into the flip-flop. WARNING:PhysDesignRules:372 - Gated clock. Clock net TTC_lock_inv is sourced by a combinatorial pin. This is not good design practice. Use the CE pin to control the loading of data into the flip-flop. WARNING:PhysDesignRules:372 - Gated clock. Clock net sysclk_dcm_locked_reprogV6_OR_86_o is sourced by a combinatorial pin. This is not good design practice. Use the CE pin to control the loading of data into the flip-flop. WARNING:PhysDesignRules:781 - PULLUP on an active net. PULLUP of comp FLASH_S_PULLUP is set but the tri state is not configured. WARNING:PhysDesignRules:781 - PULLDOWN on an active net. PULLDOWN of comp FLASH_C_PULLDOWN is set but the tri state is not configured. WARNING:PhysDesignRules:1269 - Issue with pin connections and/or configuration on block::. The Q1 output pin of IFF is not used. Section 3 - Informational ------------------------- INFO:Map:284 - Map is running with the multi-threading option on. Map currently supports the use of up to 2 processors. Based on the the user options and machine load, Map will use 2 processors during this run. INFO:Security:54 - 'xc6slx45t' is a WebPack part. INFO:LIT:243 - Logical network ipb_master_out_ipb_addr<31> has no load. INFO:LIT:243 - Logical network ipb_master_out_ipb_addr<30> has no load. INFO:LIT:243 - Logical network ipb_master_out_ipb_addr<29> has no load. INFO:LIT:243 - Logical network ipb_master_out_ipb_addr<28> has no load. INFO:LIT:243 - Logical network ipb_master_out_ipb_addr<27> has no load. INFO:LIT:243 - Logical network ipb_master_out_ipb_addr<26> has no load. INFO:LIT:243 - Logical network ipb_master_out_ipb_addr<25> has no load. INFO:LIT:243 - Logical network ipb_master_out_ipb_addr<24> has no load. INFO:LIT:243 - Logical network ipb_master_out_ipb_addr<23> has no load. INFO:LIT:243 - Logical network ipb_master_out_ipb_addr<22> has no load. INFO:LIT:243 - Logical network ipb_master_out_ipb_addr<21> has no load. INFO:LIT:243 - Logical network ipb_master_out_ipb_addr<20> has no load. INFO:LIT:243 - Logical network ipb_master_out_ipb_addr<19> has no load. INFO:LIT:243 - Logical network ipb_master_out_ipb_addr<18> has no load. INFO:LIT:243 - Logical network ipb_master_out_ipb_addr<17> has no load. INFO:LIT:243 - Logical network ipb_master_out_ipb_addr<16> has no load. INFO:LIT:243 - Logical network GPLED_B<3>_IBUF has no load. INFO:LIT:243 - Logical network GPLED_B<2>_IBUF has no load. INFO:LIT:243 - Logical network GPLED_B<1>_IBUF has no load. INFO:LIT:243 - Logical network GPLED_B<0>_IBUF has no load. INFO:LIT:243 - Logical network g_FSIO_CS_B[2].i_FSIO_CS_B/O has no load. INFO:LIT:243 - Logical network g_FSIO_CS_B[3].i_FSIO_CS_B/O has no load. INFO:LIT:243 - Logical network i_GTP_if/LINKrxchariscomma<1> has no load. INFO:LIT:243 - Logical network i_GTP_if/LINKpllLock has no load. INFO:LIT:243 - Logical network i_GTP_if/GbErxrundisp has no load. INFO:LIT:243 - Logical network i_GTP_if/i_S6Link_GbE/TILE0_RXDISPERR0_OUT<1> has no load. INFO:LIT:243 - Logical network i_GTP_if/i_S6Link_GbE/TILE0_RXDISPERR0_OUT<0> has no load. INFO:LIT:243 - Logical network i_GTP_if/i_S6Link_GbE/TILE0_RXBUFSTATUS1_OUT<1> has no load. INFO:LIT:243 - Logical network i_GTP_if/i_S6Link_GbE/TILE0_RXBUFSTATUS1_OUT<0> has no load. INFO:LIT:243 - Logical network i_GTP_if/i_S6Link_GbE/TILE0_GTPCLKOUT0_OUT<1> has no load. INFO:LIT:243 - Logical network i_GTP_if/i_S6Link_GbE/TILE0_GTPCLKOUT0_OUT<0> has no load. INFO:LIT:243 - Logical network i_GTP_if/i_S6Link_GbE/TILE0_GTPCLKOUT1_OUT<1> has no load. INFO:LIT:243 - Logical network i_GTP_if/i_S6Link_GbE/TILE0_TXBUFSTATUS1_OUT<0> has no load. INFO:LIT:243 - Logical network i_GTP_if/i_S6Link_GbE/TILE0_REFCLKOUT1_OUT has no load. INFO:LIT:243 - Logical network i_GTP_if/i_S6Link_GbE/TILE0_RESETDONE0_OUT has no load. INFO:LIT:243 - Logical network i_GTP_if/i_S6Link_GbE/TILE0_RESETDONE1_OUT has no load. INFO:LIT:243 - Logical network i_GTP_if/i_S6Link_GbE/TILE0_RXBYTEREALIGN0_OUT has no load. INFO:LIT:243 - Logical network i_GTP_if/i_S6Link_GbE/TILE0_RXCOMMADET0_OUT has no load. INFO:LIT:243 - Logical network i_GTP_if/i_S6Link_GbE/TILE0_RXRECCLK1_OUT has no load. INFO:LIT:243 - Logical network i_GTP_if/i_S6Link_GbE/TILE0_TXOUTCLK0_OUT has no load. INFO:LIT:243 - Logical network i_GTP_if/i_S6Link_GbE/TILE0_TXOUTCLK1_OUT has no load. INFO:LIT:243 - Logical network i_GTP_if/i_GbE_pcs_pma/status_vector<6> has no load. INFO:LIT:243 - Logical network i_GTP_if/i_GbE_pcs_pma/status_vector<5> has no load. INFO:LIT:243 - Logical network i_GTP_if/i_GbE_pcs_pma/status_vector<3> has no load. INFO:LIT:243 - Logical network i_GTP_if/i_GbE_pcs_pma/status_vector<2> has no load. INFO:LIT:243 - Logical network i_GTP_if/i_GbE_pcs_pma/status_vector<0> has no load. INFO:LIT:243 - Logical network i_GTP_if/i_GbE_pcs_pma/N0 has no load. INFO:LIT:243 - Logical network i_flash/i_rbuf/DOA<0> has no load. INFO:LIT:243 - Logical network i_ipbus/mac_tx_error has no load. INFO:LIT:243 - Logical network i_ipbus/trans_out_raddr<11> has no load. INFO:LIT:243 - Logical network i_ipbus/trans_out_raddr<10> has no load. INFO:LIT:243 - Logical network i_ipbus/trans_out_raddr<9> has no load. INFO:LIT:243 - Logical network i_ipbus/trans_out_waddr<11> has no load. INFO:LIT:243 - Logical network i_ipbus/trans_out_waddr<10> has no load. INFO:LIT:243 - Logical network i_ipbus/trans_out_waddr<9> has no load. INFO:LIT:243 - Logical network i_ipbus/ipb_req has no load. INFO:LIT:243 - Logical network i_ipbus/pkt_rx has no load. INFO:LIT:243 - Logical network i_ipbus/pkt_rx_led has no load. INFO:LIT:243 - Logical network i_ipbus/pkt_tx_led has no load. INFO:LIT:243 - Logical network i_ipbus/udp_if/arp_addr<12> has no load. INFO:LIT:243 - Logical network i_ipbus/udp_if/arp_addr<11> has no load. INFO:LIT:243 - Logical network i_ipbus/udp_if/arp_addr<10> has no load. INFO:LIT:243 - Logical network i_ipbus/udp_if/arp_addr<9> has no load. INFO:LIT:243 - Logical network i_ipbus/udp_if/arp_addr<8> has no load. INFO:LIT:243 - Logical network i_ipbus/udp_if/arp_addr<7> has no load. INFO:LIT:243 - Logical network i_ipbus/udp_if/arp_addr<6> has no load. INFO:LIT:243 - Logical network i_ipbus/udp_if/arp_end_addr<12> has no load. INFO:LIT:243 - Logical network i_ipbus/udp_if/arp_end_addr<11> has no load. INFO:LIT:243 - Logical network i_ipbus/udp_if/arp_end_addr<10> has no load. INFO:LIT:243 - Logical network i_ipbus/udp_if/arp_end_addr<9> has no load. INFO:LIT:243 - Logical network i_ipbus/udp_if/arp_end_addr<8> has no load. INFO:LIT:243 - Logical network i_ipbus/udp_if/arp_end_addr<7> has no load. INFO:LIT:243 - Logical network i_ipbus/udp_if/arp_end_addr<6> has no load. INFO:LIT:243 - Logical network i_ipbus/udp_if/arp_end_addr<4> has no load. INFO:LIT:243 - Logical network i_ipbus/udp_if/arp_end_addr<2> has no load. INFO:LIT:243 - Logical network i_ipbus/udp_if/arp_end_addr<1> has no load. INFO:LIT:243 - Logical network i_ipbus/udp_if/status_addr<12> has no load. INFO:LIT:243 - Logical network i_ipbus/udp_if/status_addr<11> has no load. INFO:LIT:243 - Logical network i_ipbus/udp_if/status_addr<10> has no load. INFO:LIT:243 - Logical network i_ipbus/udp_if/status_addr<9> has no load. INFO:LIT:243 - Logical network i_ipbus/udp_if/status_addr<8> has no load. INFO:LIT:243 - Logical network i_ipbus/udp_if/status_addr<7> has no load. INFO:LIT:243 - Logical network i_ipbus/udp_if/status_end_addr<12> has no load. INFO:LIT:243 - Logical network i_ipbus/udp_if/status_end_addr<11> has no load. INFO:LIT:243 - Logical network i_ipbus/udp_if/status_end_addr<10> has no load. INFO:LIT:243 - Logical network i_ipbus/udp_if/status_end_addr<9> has no load. INFO:LIT:243 - Logical network i_ipbus/udp_if/status_end_addr<8> has no load. INFO:LIT:243 - Logical network i_ipbus/udp_if/status_end_addr<7> has no load. INFO:LIT:243 - Logical network i_ipbus/udp_if/status_end_addr<4> has no load. INFO:LIT:243 - Logical network i_ipbus/udp_if/status_end_addr<2> has no load. INFO:LIT:243 - Logical network i_ipbus/udp_if/status_end_addr<1> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<95> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<94> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<93> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<92> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<91> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<90> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<89> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<88> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<87> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<86> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<85> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<84> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<83> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<82> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<31> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<30> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<29> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<28> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<27> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<26> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<25> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<24> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<23> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<22> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<21> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<20> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<19> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<18> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<17> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<16> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<15> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<14> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<13> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<12> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<11> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<10> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<9> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<8> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<7> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<6> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<5> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<4> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<3> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<2> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<1> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_in<0> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<127> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<126> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<125> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<124> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<123> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<122> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<121> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<120> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<119> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<118> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<117> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<116> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<115> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<114> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<113> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<112> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<111> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<110> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<109> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<108> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<107> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<106> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<105> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<104> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<103> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<102> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<101> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<100> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<99> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<98> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<97> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<96> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<95> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<94> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<93> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<92> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<91> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<90> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<89> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<88> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<87> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<86> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<85> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<84> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<83> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<82> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<80> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<79> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<78> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<77> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<76> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<75> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<74> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<73> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<72> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<71> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<70> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<69> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<68> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<67> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<66> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<65> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<64> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<63> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<62> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<61> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<60> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<59> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<58> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<57> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<56> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<55> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<54> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<53> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<52> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<51> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<50> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<49> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<48> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<47> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<46> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<45> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<44> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<43> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<42> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<41> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<40> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<39> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<38> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<37> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<36> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<35> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<34> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<33> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<32> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<31> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<30> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<29> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<28> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<27> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<26> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<25> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<24> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<23> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<22> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<21> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<20> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<19> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<18> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<17> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<16> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<15> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<14> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<13> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<12> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<11> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<10> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<9> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<8> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<7> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<6> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<5> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<4> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<3> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<2> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<1> has no load. INFO:LIT:243 - Logical network i_ipbus/trans/cfg_vector_out<0> has no load. INFO:LIT:243 - Logical network i_ipbus/stretch_tx/clkdiv/reset_gen/Q has no load. INFO:LIT:243 - Logical network i_ipbus/stretch_rx/clkdiv/reset_gen/Q has no load. INFO:LIT:243 - Logical network i_LinkFIFO/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.wr /gwss.wsts/ram_full_i has no load. INFO:MapLib:562 - No environment variables are currently set. INFO:LIT:244 - All of the single ended outputs in this design are using slew rate limited output drivers. The delay on speed critical single ended outputs can be dramatically reduced by designating them as fast outputs. INFO:Pack:1716 - Initializing temperature to 85.000 Celsius. (default - Range: 0.000 to 85.000 Celsius) INFO:Pack:1720 - Initializing voltage to 1.140 Volts. (default - Range: 1.140 to 1.260 Volts) INFO:Map:215 - The Interim Design Summary has been generated in the MAP Report (.mrp). INFO:Pack:1650 - Map created a placed design. INFO:PhysDesignRules:1861 - To achieve optimal frequency synthesis performance with the CLKFX and CLKFX180 outputs of the DCM comp i_sysclk_dcm, consult the device Data Sheet. Section 4 - Removed Logic Summary --------------------------------- 70 block(s) removed 49 block(s) optimized away 92 signal(s) removed 297 Block(s) redundant Section 5 - Removed Logic ------------------------- The trimmed logic report below shows the logic removed from your design due to sourceless or loadless signals, and VCC or ground connections. If the removal of a signal or symbol results in the subsequent removal of an additional signal or symbol, the message explaining that second removal will be indented. This indentation will be repeated as a chain of related logic is removed. To quickly locate the original cause for the removal of a chain of logic, look above the place where that logic is listed in the trimming report, then locate the lines that are least indented (begin at the leftmost edge). Loadless block "i_L1Accept" (SRL16E) removed. The signal "L1A" is loadless and has been removed. Loadless block "L1A" (FF) removed. The signal "TTCdata<0>" is loadless and has been removed. The signal "g_FSIO_CS_B[2].i_FSIO_CS_B/O" is sourceless and has been removed. The signal "g_FSIO_CS_B[3].i_FSIO_CS_B/O" is sourceless and has been removed. The signal "i_GTP_if/LINKrxchariscomma<1>" is sourceless and has been removed. The signal "i_GTP_if/GbErxclkcorcnt<2>" is sourceless and has been removed. Sourceless block "i_GTP_if/i_GbE_pcs_pma/BU2/U0/Mmux_RXCLKCORCNT[2]_GND_13_o_mux_20_OUT31" (ROM) removed. The signal "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RXCLKCORCNT[2]_GND_13_o_mux_20_OUT<2>" is sourceless and has been removed. Sourceless block "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RXCLKCORCNT_INT_2" (SFF) removed. The signal "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RXCLKCORCNT_INT<2>" is sourceless and has been removed. Sourceless block "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RECEIVER/C_HDR_REMOVED_REG_rstpot" (ROM) removed. The signal "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RECEIVER/C_HDR_REMOVED_REG_rstpot" is sourceless and has been removed. Sourceless block "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RECEIVER/C_HDR_REMOVED_REG" (FF) removed. The signal "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RECEIVER/C_HDR_REMOVED_REG" is sourceless and has been removed. Sourceless block "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RECEIVER/SYNC_STATUS_C_REG1_AND_124_o" (ROM) removed. The signal "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RECEIVER/SYNC_STATUS_C_REG1_AND_124_o" is sourceless and has been removed. Sourceless block "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RECEIVER/RX_CONFIG_VALID_INT" (SFF) removed. The signal "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RECEIVER/RX_CONFIG_VALID_INT" is sourceless and has been removed. Sourceless block "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RECEIVER/RX_CONFIG_VALID_REG_0" (SFF) removed. The signal "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RECEIVER/RX_CONFIG_VALID_REG<0>" is sourceless and has been removed. Sourceless block "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RECEIVER/RX_CONFIG_VALID_REG_1" (SFF) removed. The signal "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RECEIVER/RX_CONFIG_VALID_REG<1>" is sourceless and has been removed. Sourceless block "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RECEIVER/RX_CONFIG_VALID_REG_2" (SFF) removed. The signal "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RECEIVER/RX_CONFIG_VALID_REG<2>" is sourceless and has been removed. Sourceless block "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RECEIVER/RX_CONFIG_VALID_REG_3" (SFF) removed. The signal "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RECEIVER/RX_CONFIG_VALID_REG<3>" is sourceless and has been removed. Sourceless block "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RECEIVER/RX_CONFIG_VALID_REG[0]_RX_CONFIG_VALID_R EG[3]_OR_111_o<0>1" (ROM) removed. The signal "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RECEIVER/RX_CONFIG_VALID_REG[0]_RX_CONFIG_VALID_R EG[3]_OR_111_o" is sourceless and has been removed. Sourceless block "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RECEIVER/RUDI_C" (SFF) removed. The signal "i_GTP_if/i_GbE_pcs_pma/status_vector<2>" is sourceless and has been removed. The signal "i_GTP_if/GbErxclkcorcnt<1>" is sourceless and has been removed. Sourceless block "i_GTP_if/i_GbE_pcs_pma/BU2/U0/Mmux_RXCLKCORCNT[2]_GND_13_o_mux_20_OUT21" (ROM) removed. The signal "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RXCLKCORCNT[2]_GND_13_o_mux_20_OUT<1>" is sourceless and has been removed. Sourceless block "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RXCLKCORCNT_INT_1" (SFF) removed. The signal "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RXCLKCORCNT_INT<1>" is sourceless and has been removed. The signal "i_GTP_if/GbErxclkcorcnt<0>" is sourceless and has been removed. Sourceless block "i_GTP_if/i_GbE_pcs_pma/BU2/U0/Mmux_RXCLKCORCNT[2]_GND_13_o_mux_20_OUT11" (ROM) removed. The signal "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RXCLKCORCNT[2]_GND_13_o_mux_20_OUT<0>" is sourceless and has been removed. Sourceless block "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RXCLKCORCNT_INT_0" (SFF) removed. The signal "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RXCLKCORCNT_INT<0>" is sourceless and has been removed. The signal "i_GTP_if/LINKpllLock" is sourceless and has been removed. The signal "i_GTP_if/GbErxrundisp" is sourceless and has been removed. The signal "i_GTP_if/i_S6Link_GbE/TILE0_RXDISPERR0_OUT<1>" is sourceless and has been removed. The signal "i_GTP_if/i_S6Link_GbE/TILE0_RXDISPERR0_OUT<0>" is sourceless and has been removed. The signal "i_GTP_if/i_S6Link_GbE/TILE0_RXBUFSTATUS1_OUT<1>" is sourceless and has been removed. The signal "i_GTP_if/i_S6Link_GbE/TILE0_RXBUFSTATUS1_OUT<0>" is sourceless and has been removed. The signal "i_GTP_if/i_S6Link_GbE/TILE0_GTPCLKOUT0_OUT<1>" is sourceless and has been removed. The signal "i_GTP_if/i_S6Link_GbE/TILE0_GTPCLKOUT0_OUT<0>" is sourceless and has been removed. The signal "i_GTP_if/i_S6Link_GbE/TILE0_GTPCLKOUT1_OUT<1>" is sourceless and has been removed. The signal "i_GTP_if/i_S6Link_GbE/TILE0_TXBUFSTATUS1_OUT<0>" is sourceless and has been removed. The signal "i_GTP_if/i_S6Link_GbE/TILE0_REFCLKOUT1_OUT" is sourceless and has been removed. The signal "i_GTP_if/i_S6Link_GbE/TILE0_RESETDONE0_OUT" is sourceless and has been removed. The signal "i_GTP_if/i_S6Link_GbE/TILE0_RESETDONE1_OUT" is sourceless and has been removed. The signal "i_GTP_if/i_S6Link_GbE/TILE0_RXBYTEREALIGN0_OUT" is sourceless and has been removed. The signal "i_GTP_if/i_S6Link_GbE/TILE0_RXCOMMADET0_OUT" is sourceless and has been removed. The signal "i_GTP_if/i_S6Link_GbE/TILE0_RXRECCLK1_OUT" is sourceless and has been removed. The signal "i_GTP_if/i_S6Link_GbE/TILE0_TXOUTCLK0_OUT" is sourceless and has been removed. The signal "i_GTP_if/i_S6Link_GbE/TILE0_TXOUTCLK1_OUT" is sourceless and has been removed. The signal "i_GTP_if/i_GbE_pcs_pma/status_vector<6>" is sourceless and has been removed. The signal "i_GTP_if/i_GbE_pcs_pma/status_vector<5>" is sourceless and has been removed. The signal "i_GTP_if/i_GbE_pcs_pma/status_vector<4>" is sourceless and has been removed. Sourceless block "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RECEIVER/RX_INVALID_glue_set" (ROM) removed. The signal "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RECEIVER/RX_INVALID_glue_set" is sourceless and has been removed. Sourceless block "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RECEIVER/RX_INVALID" (SFF) removed. The signal "i_GTP_if/i_GbE_pcs_pma/status_vector<3>" is sourceless and has been removed. The signal "i_GTP_if/i_GbE_pcs_pma/status_vector<0>" is sourceless and has been removed. The signal "i_GTP_if/i_GbE_pcs_pma/N0" is sourceless and has been removed. The signal "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RXNOTINTABLE_SRL" is sourceless and has been removed. Sourceless block "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RXNOTINTABLE_REG" (FF) removed. The signal "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RXDISPERR_SRL" is sourceless and has been removed. Sourceless block "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RXDISPERR_REG" (FF) removed. The signal "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RECEIVER/C_REG2" is sourceless and has been removed. Sourceless block "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RECEIVER/C_REG3" (FF) removed. The signal "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RECEIVER/C_REG3" is sourceless and has been removed. Sourceless block "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RECEIVER/C_REG1_C_REG3_OR_59_o" (ROM) removed. The signal "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RECEIVER/C_REG1_C_REG3_OR_59_o" is sourceless and has been removed. Sourceless block "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RECEIVER/FROM_RX_CX" (SFF) removed. The signal "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RECEIVER/FROM_RX_CX" is sourceless and has been removed. Sourceless block "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RECEIVER/C_REG1_C_REG3_OR_59_o_SW0" (ROM) removed. The signal "i_GTP_if/i_GbE_pcs_pma/BU2/N26" is sourceless and has been removed. The signal "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RECEIVER/IDLE_REG<1>" is sourceless and has been removed. Sourceless block "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RECEIVER/IDLE_REG_2" (SFF) removed. The signal "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RECEIVER/IDLE_REG<2>" is sourceless and has been removed. Sourceless block "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RECEIVER/IDLE_REG[1]_IDLE_REG[2]_OR_112_o1" (ROM) removed. The signal "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RECEIVER/IDLE_REG[1]_IDLE_REG[2]_OR_112_o" is sourceless and has been removed. Sourceless block "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RECEIVER/RUDI_I" (SFF) removed. The signal "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RECEIVER/IDLE_REG<0>" is sourceless and has been removed. Sourceless block "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RECEIVER/IDLE_REG_1" (SFF) removed. The signal "i_GTP_if/i_GbE_pcs_pma/BU2/N28" is sourceless and has been removed. The signal "i_GTP_if/i_GbE_pcs_pma/BU2/U0/Mshreg_STATUS_VECTOR_0" is sourceless and has been removed. Sourceless block "i_GTP_if/i_GbE_pcs_pma/BU2/U0/STATUS_VECTOR_0" (FF) removed. The signal "i_GTP_if/i_GbE_pcs_pma/BU2/U0/DELAY_RXNOTINTABLE/CE" is sourceless and has been removed. The signal "i_GTP_if/i_GbE_pcs_pma/BU2/U0/DELAY_RXDISPERR/CE" is sourceless and has been removed. The signal "i_flash/i_rbuf/DOA<0>" is sourceless and has been removed. The signal "i_ipbus/stretch_tx/clkdiv/N0" is sourceless and has been removed. The signal "i_ipbus/stretch_tx/clkdiv/reset_gen/Q" is sourceless and has been removed. The signal "i_ipbus/stretch_tx/clkdiv/reset_gen/CE" is sourceless and has been removed. The signal "i_ipbus/stretch_rx/clkdiv/N0" is sourceless and has been removed. The signal "i_ipbus/stretch_rx/clkdiv/reset_gen/Q" is sourceless and has been removed. The signal "i_ipbus/stretch_rx/clkdiv/reset_gen/CE" is sourceless and has been removed. The signal "i_LinkFIFO/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.wr/g wss.wsts/ram_full_i" is sourceless and has been removed. The trimmed logic reported below is either: 1. part of a cycle 2. part of disabled logic 3. a side-effect of other trimmed logic The signal "TTC_CLK_p<0>" is unused and has been removed. Unused block "TTC_CLK_p<0>" (PAD) removed. The signal "TTC_CLK_p<1>" is unused and has been removed. Unused block "TTC_CLK_p<1>" (PAD) removed. The signal "TTC_CLK_p<2>" is unused and has been removed. Unused block "TTC_CLK_p<2>" (PAD) removed. The signal "TTC_CLK_p<3>" is unused and has been removed. Unused block "TTC_CLK_p<3>" (PAD) removed. The signal "TTC_CLK_n<0>" is unused and has been removed. Unused block "TTC_CLK_n<0>" (PAD) removed. The signal "TTC_CLK_n<1>" is unused and has been removed. Unused block "TTC_CLK_n<1>" (PAD) removed. The signal "TTC_CLK_n<2>" is unused and has been removed. Unused block "TTC_CLK_n<2>" (PAD) removed. The signal "TTC_CLK_n<3>" is unused and has been removed. Unused block "TTC_CLK_n<3>" (PAD) removed. The signal "TTCclk_in<0>" is unused and has been removed. The signal "TTCclk_in<1>" is unused and has been removed. The signal "TTCclk_in<2>" is unused and has been removed. The signal "TTCclk_in<3>" is unused and has been removed. The signal "TTCclkOut_dcm<0>" is unused and has been removed. The signal "TTCclkOut_dcm<1>" is unused and has been removed. The signal "TTCclkOut_dcm<2>" is unused and has been removed. The signal "TTCclkOut_dcm<3>" is unused and has been removed. The signal "TTCclkOut<0>" is unused and has been removed. Unused block "g_TTCclkOut[0].i_TTCclk_buf" (CKBUF) removed. The signal "TTCclkOut<1>" is unused and has been removed. Unused block "g_TTCclkOut[1].i_TTCclk_buf" (CKBUF) removed. The signal "TTCclkOut<2>" is unused and has been removed. Unused block "g_TTCclkOut[2].i_TTCclk_buf" (CKBUF) removed. The signal "TTCclkOut<3>" is unused and has been removed. Unused block "g_TTCclkOut[3].i_TTCclk_buf" (CKBUF) removed. Unused block "g_FSIO_CS_B[2].i_FSIO_CS_B/IBUF" (BUF) removed. Unused block "g_FSIO_CS_B[3].i_FSIO_CS_B/IBUF" (BUF) removed. Unused block "g_TTCclkOut[0].i_DCM_TTCclkOut" (DCM_SP) removed. Unused block "g_TTCclkOut[0].i_TTCclk_in" (IBUFGDS) removed. Unused block "g_TTCclkOut[1].i_DCM_TTCclkOut" (DCM_SP) removed. Unused block "g_TTCclkOut[1].i_TTCclk_in" (IBUFGDS) removed. Unused block "g_TTCclkOut[2].i_DCM_TTCclkOut" (DCM_SP) removed. Unused block "g_TTCclkOut[2].i_TTCclk_in" (IBUFGDS) removed. Unused block "g_TTCclkOut[3].i_DCM_TTCclkOut" (DCM_SP) removed. Unused block "g_TTCclkOut[3].i_TTCclk_in" (IBUFGDS) removed. Unused block "i_GTP_if/i_GbE_pcs_pma/BU2/U0/DELAY_RXDISPERR/SRL16E" (SRL16E) removed. Unused block "i_GTP_if/i_GbE_pcs_pma/BU2/U0/DELAY_RXDISPERR/VCC" (ONE) removed. Unused block "i_GTP_if/i_GbE_pcs_pma/BU2/U0/DELAY_RXNOTINTABLE/SRL16E" (SRL16E) removed. Unused block "i_GTP_if/i_GbE_pcs_pma/BU2/U0/DELAY_RXNOTINTABLE/VCC" (ONE) removed. Unused block "i_GTP_if/i_GbE_pcs_pma/BU2/U0/Mshreg_STATUS_VECTOR_0" (SRLC16E) removed. Unused block "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RECEIVER/C_REG2" (FF) removed. Unused block "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RECEIVER/IDLE_REG_0" (SFF) removed. Unused block "i_GTP_if/i_GbE_pcs_pma/BU2/U0/RECEIVER/SYNC_STATUS_C_REG1_AND_124_o_SW0" (ROM) removed. Unused block "i_GTP_if/i_GbE_pcs_pma/GND" (ZERO) removed. Unused block "i_GTP_if/i_GbE_pcs_pma/VCC" (ONE) removed. Unused block "i_LinkFIFO/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.wr/g wss.wsts/ram_full_i" (FF) removed. Unused block "i_ipbus/stretch_rx/clkdiv/XST_VCC" (ONE) removed. Unused block "i_ipbus/stretch_rx/clkdiv/reset_gen/SRL16E" (SRL16E) removed. Unused block "i_ipbus/stretch_rx/clkdiv/reset_gen/VCC" (ONE) removed. Unused block "i_ipbus/stretch_tx/clkdiv/XST_VCC" (ONE) removed. Unused block "i_ipbus/stretch_tx/clkdiv/reset_gen/SRL16E" (SRL16E) removed. Unused block "i_ipbus/stretch_tx/clkdiv/reset_gen/VCC" (ONE) removed. Optimized Block(s): TYPE BLOCK GND XST_GND VCC XST_VCC GND i_GTP_if/XST_GND VCC i_GTP_if/XST_VCC GND i_GTP_if/i_GbE_pcs_pma/BU2/XST_GND VCC i_GTP_if/i_GbE_pcs_pma/BU2/XST_VCC GND i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/XST_GND VCC i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i/XST_VCC GND i_GTP_if/i_mac/XST_GND VCC i_GTP_if/i_mac/XST_VCC GND i_LinkFIFO/XST_GND VCC i_LinkFIFO/XST_VCC GND i_SPI_if/XST_GND VCC i_SPI_if/XST_VCC GND i_flash/XST_GND VCC i_flash/XST_VCC GND i_flash/i_rbuf/XST_GND VCC i_flash/i_rbuf/XST_VCC GND i_flash/i_wbuf/XST_GND VCC i_flash/i_wbuf/XST_VCC VCC i_ipbus/XST_VCC GND i_ipbus/trans/iface/XST_GND VCC i_ipbus/trans/iface/XST_VCC GND i_ipbus/trans/sm/XST_GND VCC i_ipbus/trans/sm/XST_VCC VCC i_ipbus/udp_if/ARP/XST_VCC VCC i_ipbus/udp_if/IPADDR/XST_VCC GND i_ipbus/udp_if/RARP_block/XST_GND VCC i_ipbus/udp_if/RARP_block/XST_VCC GND i_ipbus/udp_if/internal_ram/XST_GND VCC i_ipbus/udp_if/internal_ram/XST_VCC GND i_ipbus/udp_if/ipbus_rx_ram/XST_GND VCC i_ipbus/udp_if/ipbus_rx_ram/XST_VCC GND i_ipbus/udp_if/ipbus_tx_ram/XST_GND VCC i_ipbus/udp_if/ipbus_tx_ram/XST_VCC GND i_ipbus/udp_if/payload/XST_GND VCC i_ipbus/udp_if/payload/XST_VCC GND i_ipbus/udp_if/ping/XST_GND VCC i_ipbus/udp_if/ping/XST_VCC GND i_ipbus/udp_if/rx_byte_sum/XST_GND VCC i_ipbus/udp_if/rx_packet_parser/XST_VCC VCC i_ipbus/udp_if/status/XST_VCC GND i_ipbus/udp_if/status_buffer/XST_GND VCC i_ipbus/udp_if/status_buffer/XST_VCC GND i_ipbus/udp_if/tx_byte_sum/XST_GND GND i_ipbus/udp_if/tx_main/XST_GND VCC i_ipbus/udp_if/tx_main/XST_VCC GND i_ipbus/udp_if/tx_transactor/XST_GND VCC i_ipbus/udp_if/tx_transactor/XST_VCC Redundant Block(s): TYPE BLOCK INV i_GTP_if/i_mac/i_tx_CRC32D8/crc<0>1_INV_0 INV i_GTP_if/i_mac/i_tx_CRC32D8/crc<1>1_INV_0 INV i_GTP_if/i_mac/i_tx_CRC32D8/_n03291_INV_0 INV i_GTP_if/i_mac/i_tx_CRC32D8/_n03311_INV_0 INV i_GTP_if/i_mac/i_tx_CRC32D8/_n03331_INV_0 INV i_GTP_if/i_mac/i_tx_CRC32D8/crc<5>1_INV_0 INV i_GTP_if/i_mac/i_tx_CRC32D8/crc<6>1_INV_0 INV i_GTP_if/i_mac/i_tx_CRC32D8/crc<7>1_INV_0 INV i_GTP_if/i_mac/i_tx_CRC32D8/_n03351_INV_0 INV i_GTP_if/i_mac/i_tx_CRC32D8/_n03371_INV_0 INV i_GTP_if/i_mac/i_tx_CRC32D8/_n03391_INV_0 INV i_GTP_if/i_mac/i_tx_CRC32D8/_n03411_INV_0 INV i_GTP_if/i_mac/i_tx_CRC32D8/_n03431_INV_0 INV i_GTP_if/i_mac/i_tx_CRC32D8/crc<13>1_INV_0 INV i_GTP_if/i_mac/i_tx_CRC32D8/_n03451_INV_0 INV i_GTP_if/i_mac/i_tx_CRC32D8/_n03471_INV_0 INV i_GTP_if/i_mac/i_tx_CRC32D8/crc<16>1_INV_0 INV i_GTP_if/i_mac/i_tx_CRC32D8/crc<17>1_INV_0 INV i_GTP_if/i_mac/i_tx_CRC32D8/_n03491_INV_0 INV i_GTP_if/i_mac/i_tx_CRC32D8/crc<19>1_INV_0 INV i_GTP_if/i_mac/i_tx_CRC32D8/crc<20>1_INV_0 INV i_GTP_if/i_mac/i_tx_CRC32D8/crc<21>1_INV_0 INV i_GTP_if/i_mac/i_tx_CRC32D8/_n03511_INV_0 INV i_GTP_if/i_mac/i_tx_CRC32D8/crc<23>1_INV_0 INV i_GTP_if/i_mac/i_tx_CRC32D8/_n03531_INV_0 INV i_GTP_if/i_mac/i_tx_CRC32D8/crc<25>1_INV_0 INV i_GTP_if/i_mac/i_tx_CRC32D8/crc<26>1_INV_0 INV i_GTP_if/i_mac/i_tx_CRC32D8/crc<27>1_INV_0 INV i_GTP_if/i_mac/i_tx_CRC32D8/crc<28>1_INV_0 INV i_GTP_if/i_mac/i_tx_CRC32D8/_n03551_INV_0 INV i_GTP_if/i_mac/i_tx_CRC32D8/crc<30>1_INV_0 INV i_GTP_if/i_mac/i_tx_CRC32D8/crc<31>1_INV_0 LUT1 i_GTP_if/i_mac/Mcount_tx_byte_cnt_cy<1>_rt LUT1 i_GTP_if/i_mac/Mcount_tx_byte_cnt_cy<2>_rt LUT1 i_GTP_if/i_mac/Mcount_tx_byte_cnt_cy<3>_rt LUT1 i_GTP_if/i_mac/Mcount_tx_byte_cnt_cy<4>_rt LUT1 i_GTP_if/i_mac/Mcount_tx_byte_cnt_cy<5>_rt LUT1 i_GTP_if/i_mac/Mcount_tx_byte_cnt_cy<6>_rt LUT1 i_GTP_if/i_mac/Mcount_tx_byte_cnt_cy<7>_rt LUT1 i_GTP_if/i_mac/Mcount_tx_byte_cnt_cy<8>_rt LUT1 i_GTP_if/i_mac/Mcount_tx_byte_cnt_cy<9>_rt LUT1 i_GTP_if/i_mac/Mcount_rx_byte_cnt_cy<1>_rt LUT1 i_GTP_if/i_mac/Mcount_rx_byte_cnt_cy<2>_rt LUT1 i_GTP_if/i_mac/Mcount_rx_byte_cnt_cy<3>_rt LUT1 i_GTP_if/i_mac/Mcount_rx_byte_cnt_cy<4>_rt LUT1 i_GTP_if/i_mac/Mcount_rx_byte_cnt_cy<5>_rt LUT1 i_GTP_if/i_mac/Mcount_rx_byte_cnt_cy<6>_rt LUT1 i_GTP_if/i_mac/Mcount_rx_byte_cnt_cy<7>_rt LUT1 i_GTP_if/i_mac/Mcount_rx_byte_cnt_cy<8>_rt LUT1 i_GTP_if/i_mac/Mcount_rx_byte_cnt_cy<9>_rt LUT1 i_GTP_if/i_mac/Mcount_tx_byte_cnt_xor<10>_rt LUT1 i_GTP_if/i_mac/Mcount_rx_byte_cnt_xor<10>_rt INV i_GTP_if/i_mac/init_rx_crc1_INV_0 LUT1 i_flash/Madd_addra[11]_GND_77_o_add_5_OUT_cy<1>_rt LUT1 i_flash/Madd_addra[11]_GND_77_o_add_5_OUT_cy<2>_rt LUT1 i_flash/Madd_addra[11]_GND_77_o_add_5_OUT_cy<3>_rt LUT1 i_flash/Madd_addra[11]_GND_77_o_add_5_OUT_cy<4>_rt LUT1 i_flash/Madd_addra[11]_GND_77_o_add_5_OUT_cy<5>_rt LUT1 i_flash/Madd_addra[11]_GND_77_o_add_5_OUT_cy<6>_rt LUT1 i_flash/Madd_addra[11]_GND_77_o_add_5_OUT_cy<7>_rt LUT1 i_flash/Madd_addra[11]_GND_77_o_add_5_OUT_cy<8>_rt LUT1 i_flash/Madd_addra[11]_GND_77_o_add_5_OUT_cy<9>_rt LUT1 i_flash/Madd_addra[11]_GND_77_o_add_5_OUT_cy<10>_rt LUT1 i_flash/Madd_addrap[11]_GND_77_o_add_8_OUT_cy<1>_rt LUT1 i_flash/Madd_addrap[11]_GND_77_o_add_8_OUT_cy<2>_rt LUT1 i_flash/Madd_addrap[11]_GND_77_o_add_8_OUT_cy<3>_rt LUT1 i_flash/Madd_addrap[11]_GND_77_o_add_8_OUT_cy<4>_rt LUT1 i_flash/Madd_addrap[11]_GND_77_o_add_8_OUT_cy<5>_rt LUT1 i_flash/Madd_addrap[11]_GND_77_o_add_8_OUT_cy<6>_rt LUT1 i_flash/Madd_addrap[11]_GND_77_o_add_8_OUT_cy<7>_rt LUT1 i_flash/Madd_addrap[11]_GND_77_o_add_8_OUT_cy<8>_rt LUT1 i_flash/Madd_addrap[11]_GND_77_o_add_8_OUT_cy<9>_rt LUT1 i_flash/Madd_addrap[11]_GND_77_o_add_8_OUT_cy<10>_rt LUT1 i_flash/Madd_addra[11]_GND_77_o_add_5_OUT_xor<11>_rt LUT1 i_flash/Madd_addrap[11]_GND_77_o_add_8_OUT_xor<11>_rt LUT1 i_ipbus/udp_if/RARP_block/Mcount_tick_counter.counter_int_cy<1>_rt LUT1 i_ipbus/udp_if/RARP_block/Mcount_tick_counter.counter_int_cy<2>_rt LUT1 i_ipbus/udp_if/RARP_block/Mcount_tick_counter.counter_int_cy<3>_rt LUT1 i_ipbus/udp_if/RARP_block/Mcount_tick_counter.counter_int_cy<4>_rt LUT1 i_ipbus/udp_if/RARP_block/Mcount_tick_counter.counter_int_cy<5>_rt LUT1 i_ipbus/udp_if/RARP_block/Mcount_tick_counter.counter_int_cy<6>_rt LUT1 i_ipbus/udp_if/RARP_block/Mcount_tick_counter.counter_int_cy<7>_rt LUT1 i_ipbus/udp_if/RARP_block/Mcount_tick_counter.counter_int_cy<8>_rt LUT1 i_ipbus/udp_if/RARP_block/Mcount_tick_counter.counter_int_cy<9>_rt LUT1 i_ipbus/udp_if/RARP_block/Mcount_tick_counter.counter_int_cy<10>_rt LUT1 i_ipbus/udp_if/RARP_block/Mcount_tick_counter.counter_int_cy<11>_rt LUT1 i_ipbus/udp_if/RARP_block/Mcount_tick_counter.counter_int_cy<12>_rt LUT1 i_ipbus/udp_if/RARP_block/Mcount_tick_counter.counter_int_cy<13>_rt LUT1 i_ipbus/udp_if/RARP_block/Mcount_tick_counter.counter_int_cy<14>_rt LUT1 i_ipbus/udp_if/RARP_block/Mcount_tick_counter.counter_int_cy<15>_rt LUT1 i_ipbus/udp_if/RARP_block/Mcount_tick_counter.counter_int_cy<16>_rt LUT1 i_ipbus/udp_if/RARP_block/Mcount_tick_counter.counter_int_cy<17>_rt LUT1 i_ipbus/udp_if/RARP_block/Mcount_tick_counter.counter_int_cy<18>_rt LUT1 i_ipbus/udp_if/RARP_block/Mcount_tick_counter.counter_int_cy<19>_rt LUT1 i_ipbus/udp_if/RARP_block/Mcount_tick_counter.counter_int_cy<20>_rt LUT1 i_ipbus/udp_if/RARP_block/Mcount_tick_counter.counter_int_cy<21>_rt LUT1 i_ipbus/udp_if/RARP_block/Mcount_tick_counter.counter_int_cy<22>_rt LUT1 i_ipbus/udp_if/RARP_block/Mcount_tick_counter.counter_int_xor<23>_rt LUT1 i_ipbus/udp_if/status_buffer/Madd_header_block.next_pkt_id_int[15]_GND_242_o_a dd_6_OUT_cy<1>_rt LUT1 i_ipbus/udp_if/status_buffer/Madd_header_block.next_pkt_id_int[15]_GND_242_o_a dd_6_OUT_cy<2>_rt LUT1 i_ipbus/udp_if/status_buffer/Madd_header_block.next_pkt_id_int[15]_GND_242_o_a dd_6_OUT_cy<3>_rt LUT1 i_ipbus/udp_if/status_buffer/Madd_header_block.next_pkt_id_int[15]_GND_242_o_a dd_6_OUT_cy<4>_rt LUT1 i_ipbus/udp_if/status_buffer/Madd_header_block.next_pkt_id_int[15]_GND_242_o_a dd_6_OUT_cy<5>_rt LUT1 i_ipbus/udp_if/status_buffer/Madd_header_block.next_pkt_id_int[15]_GND_242_o_a dd_6_OUT_cy<6>_rt LUT1 i_ipbus/udp_if/status_buffer/Madd_header_block.next_pkt_id_int[15]_GND_242_o_a dd_6_OUT_cy<7>_rt LUT1 i_ipbus/udp_if/status_buffer/Madd_header_block.next_pkt_id_int[15]_GND_242_o_a dd_6_OUT_cy<8>_rt LUT1 i_ipbus/udp_if/status_buffer/Madd_header_block.next_pkt_id_int[15]_GND_242_o_a dd_6_OUT_cy<9>_rt LUT1 i_ipbus/udp_if/status_buffer/Madd_header_block.next_pkt_id_int[15]_GND_242_o_a dd_6_OUT_cy<10>_rt LUT1 i_ipbus/udp_if/status_buffer/Madd_header_block.next_pkt_id_int[15]_GND_242_o_a dd_6_OUT_cy<11>_rt LUT1 i_ipbus/udp_if/status_buffer/Madd_header_block.next_pkt_id_int[15]_GND_242_o_a dd_6_OUT_cy<12>_rt LUT1 i_ipbus/udp_if/status_buffer/Madd_header_block.next_pkt_id_int[15]_GND_242_o_a dd_6_OUT_cy<13>_rt LUT1 i_ipbus/udp_if/status_buffer/Madd_header_block.next_pkt_id_int[15]_GND_242_o_a dd_6_OUT_cy<14>_rt LUT1 i_ipbus/udp_if/status_buffer/Madd_header_block.next_pkt_id_int[15]_GND_242_o_a dd_6_OUT_xor<15>_rt LUT1 i_ipbus/udp_if/tx_transactor/resend_pkt_id[15]_clean_buf[2]_AND_349_o1_cy_rt LUT1 i_ipbus/udp_if/tx_transactor/Mmux_GND_263_o_PWR_128_o_mux_127_OUT14_cy_rt LUT1 i_ipbus/udp_if/tx_transactor/resend_pkt_id[15]_clean_buf[5]_AND_352_o1_cy_rt LUT1 i_ipbus/udp_if/tx_transactor/resend_pkt_id[15]_clean_buf[3]_AND_350_o1_cy_rt LUT1 i_ipbus/udp_if/tx_transactor/resend_pkt_id[15]_clean_buf[4]_AND_351_o1_cy_rt LUT1 i_ipbus/udp_if/tx_transactor/Mmux_GND_263_o_PWR_128_o_mux_127_OUT13_cy_rt LUT1 i_ipbus/trans/sm/Mcount_timer_cy<1>_rt LUT1 i_ipbus/trans/sm/Mcount_timer_cy<2>_rt LUT1 i_ipbus/trans/sm/Mcount_timer_cy<3>_rt LUT1 i_ipbus/trans/sm/Mcount_timer_cy<4>_rt LUT1 i_ipbus/trans/sm/Mcount_timer_cy<5>_rt LUT1 i_ipbus/trans/sm/Mcount_timer_cy<6>_rt LUT1 i_ipbus/trans/sm/Mcount_words_done_cy<1>_rt LUT1 i_ipbus/trans/sm/Mcount_words_done_cy<2>_rt LUT1 i_ipbus/trans/sm/Mcount_words_done_cy<3>_rt LUT1 i_ipbus/trans/sm/Mcount_words_done_cy<4>_rt LUT1 i_ipbus/trans/sm/Mcount_words_done_cy<5>_rt LUT1 i_ipbus/trans/sm/Mcount_words_done_cy<6>_rt LUT1 i_ipbus/trans/sm/Mcount_timer_xor<7>_rt LUT1 i_ipbus/trans/sm/Mcount_words_done_xor<7>_rt LUT1 i_ipbus/trans/iface/Mcount_waddr_cy<1>_rt LUT1 i_ipbus/trans/iface/Mcount_waddr_cy<2>_rt LUT1 i_ipbus/trans/iface/Mcount_waddr_cy<3>_rt LUT1 i_ipbus/trans/iface/Mcount_waddr_cy<4>_rt LUT1 i_ipbus/trans/iface/Mcount_waddr_cy<5>_rt LUT1 i_ipbus/trans/iface/Mcount_waddr_cy<6>_rt LUT1 i_ipbus/trans/iface/Mcount_waddr_cy<7>_rt LUT1 i_ipbus/trans/iface/Mcount_raddr_cy<1>_rt LUT1 i_ipbus/trans/iface/Mcount_raddr_cy<2>_rt LUT1 i_ipbus/trans/iface/Mcount_raddr_cy<3>_rt LUT1 i_ipbus/trans/iface/Mcount_raddr_cy<4>_rt LUT1 i_ipbus/trans/iface/Mcount_raddr_cy<5>_rt LUT1 i_ipbus/trans/iface/Mcount_raddr_cy<6>_rt LUT1 i_ipbus/trans/iface/Mcount_raddr_cy<7>_rt LUT1 i_ipbus/trans/iface/Mcount_wctr_cy<1>_rt LUT1 i_ipbus/trans/iface/Mcount_wctr_cy<2>_rt LUT1 i_ipbus/trans/iface/Mcount_wctr_cy<3>_rt LUT1 i_ipbus/trans/iface/Mcount_wctr_cy<4>_rt LUT1 i_ipbus/trans/iface/Mcount_wctr_cy<5>_rt LUT1 i_ipbus/trans/iface/Mcount_wctr_cy<6>_rt LUT1 i_ipbus/trans/iface/Mcount_wctr_cy<7>_rt LUT1 i_ipbus/trans/iface/Mcount_wctr_cy<8>_rt LUT1 i_ipbus/trans/iface/Mcount_wctr_cy<9>_rt LUT1 i_ipbus/trans/iface/Mcount_wctr_cy<10>_rt LUT1 i_ipbus/trans/iface/Mcount_wctr_cy<11>_rt LUT1 i_ipbus/trans/iface/Mcount_wctr_cy<12>_rt LUT1 i_ipbus/trans/iface/Mcount_wctr_cy<13>_rt LUT1 i_ipbus/trans/iface/Mcount_wctr_cy<14>_rt LUT1 i_ipbus/trans/iface/Mcount_waddr_xor<8>_rt LUT1 i_ipbus/trans/iface/Mcount_raddr_xor<8>_rt LUT1 i_ipbus/trans/iface/Mcount_wctr_xor<15>_rt LUT1 i_SPI_if/Madd_addr[15]_GND_14_o_add_38_OUT_cy<1>_rt LUT1 i_SPI_if/Madd_addr[15]_GND_14_o_add_38_OUT_cy<2>_rt LUT1 i_SPI_if/Madd_addr[15]_GND_14_o_add_38_OUT_cy<3>_rt LUT1 i_SPI_if/Madd_addr[15]_GND_14_o_add_38_OUT_cy<4>_rt LUT1 i_SPI_if/Madd_addr[15]_GND_14_o_add_38_OUT_cy<5>_rt LUT1 i_SPI_if/Madd_addr[15]_GND_14_o_add_38_OUT_cy<6>_rt LUT1 i_SPI_if/Madd_addr[15]_GND_14_o_add_38_OUT_cy<7>_rt LUT1 i_SPI_if/Madd_addr[15]_GND_14_o_add_38_OUT_cy<8>_rt LUT1 i_SPI_if/Madd_addr[15]_GND_14_o_add_38_OUT_cy<9>_rt LUT1 i_SPI_if/Madd_addr[15]_GND_14_o_add_38_OUT_cy<10>_rt LUT1 i_SPI_if/Madd_addr[15]_GND_14_o_add_38_OUT_cy<11>_rt LUT1 i_SPI_if/Madd_addr[15]_GND_14_o_add_38_OUT_cy<12>_rt LUT1 i_SPI_if/Madd_addr[15]_GND_14_o_add_38_OUT_cy<13>_rt LUT1 i_SPI_if/Madd_addr[15]_GND_14_o_add_38_OUT_cy<14>_rt LUT1 i_SPI_if/Madd_addr[15]_GND_14_o_add_38_OUT_xor<15>_rt LUT1 Mcount_fifo_wcntr_cy<1>_rt LUT1 Mcount_fifo_wcntr_cy<2>_rt LUT1 Mcount_fifo_wcntr_cy<3>_rt LUT1 Mcount_fifo_wcntr_cy<4>_rt LUT1 Mcount_fifo_wcntr_cy<5>_rt LUT1 Mcount_fifo_wcntr_cy<6>_rt LUT1 Mcount_fifo_wcntr_cy<7>_rt LUT1 Mcount_fifo_wcntr_cy<8>_rt LUT1 Mcount_fifo_wcntr_cy<9>_rt LUT1 Mcount_fifo_wcntr_cy<10>_rt LUT1 Mcount_fifo_wcntr_cy<11>_rt LUT1 Mcount_fifo_wcntr_cy<12>_rt LUT1 Mcount_fifo_wcntr_cy<13>_rt LUT1 Mcount_fifo_wcntr_cy<14>_rt LUT1 Mcount_Bcnt_cy<1>_rt LUT1 Mcount_Bcnt_cy<2>_rt LUT1 Mcount_Bcnt_cy<3>_rt LUT1 Mcount_Bcnt_cy<4>_rt LUT1 Mcount_Bcnt_cy<5>_rt LUT1 Mcount_Bcnt_cy<6>_rt LUT1 Mcount_Bcnt_cy<7>_rt LUT1 Mcount_Bcnt_cy<8>_rt LUT1 Mcount_Bcnt_cy<9>_rt LUT1 Mcount_Bcnt_cy<10>_rt LUT1 Mcount_SinErr_cnt_cy<1>_rt LUT1 Mcount_SinErr_cnt_cy<2>_rt LUT1 Mcount_SinErr_cnt_cy<3>_rt LUT1 Mcount_SinErr_cnt_cy<4>_rt LUT1 Mcount_SinErr_cnt_cy<5>_rt LUT1 Mcount_SinErr_cnt_cy<6>_rt LUT1 Mcount_TTC_cntr_cy<1>_rt LUT1 Mcount_TTC_cntr_cy<2>_rt LUT1 Mcount_TTC_cntr_cy<3>_rt LUT1 Mcount_TTC_cntr_cy<4>_rt LUT1 Mcount_TTC_cntr_cy<5>_rt LUT1 Mcount_TTC_cntr_cy<6>_rt LUT1 Mcount_TTC_cntr_cy<7>_rt LUT1 Mcount_TTC_cntr_cy<8>_rt LUT1 Mcount_TTC_cntr_cy<9>_rt LUT1 Mcount_TTC_cntr_cy<10>_rt LUT1 Mcount_TTC_cntr_cy<11>_rt LUT1 Mcount_TTC_cntr_cy<12>_rt LUT1 Mcount_TTC_cntr_cy<13>_rt LUT1 Mcount_TTC_cntr_cy<14>_rt LUT1 Mcount_TTC_cntr_cy<15>_rt LUT1 Mcount_TTC_cntr_cy<16>_rt LUT1 Mcount_TTC_cntr_cy<17>_rt LUT1 Mcount_TTC_cntr_cy<18>_rt LUT1 Mcount_DbErr_cnt_cy<1>_rt LUT1 Mcount_DbErr_cnt_cy<2>_rt LUT1 Mcount_DbErr_cnt_cy<3>_rt LUT1 Mcount_DbErr_cnt_cy<4>_rt LUT1 Mcount_DbErr_cnt_cy<5>_rt LUT1 Mcount_DbErr_cnt_cy<6>_rt LUT1 Mcount_TxFB_cy<1>_rt LUT1 Mcount_TxFB_cy<2>_rt LUT1 Mcount_TxFB_cy<3>_rt LUT1 Mcount_TxFB_cy<4>_rt LUT1 Mcount_TxFB_cy<5>_rt LUT1 Mcount_TxFB_cy<6>_rt LUT1 Mcount_TxFB_cy<7>_rt LUT1 Mcount_TxFB_cy<8>_rt LUT1 Mcount_TxFB_cy<9>_rt LUT1 Mcount_TxFB_cy<10>_rt LUT1 Mcount_ipbclk_cntr_cy<1>_rt LUT1 Mcount_ipbclk_cntr_cy<2>_rt LUT1 Mcount_ipbclk_cntr_cy<3>_rt LUT1 Mcount_ipbclk_cntr_cy<4>_rt LUT1 Mcount_ipbclk_cntr_cy<5>_rt LUT1 Mcount_ipbclk_cntr_cy<6>_rt LUT1 Mcount_ipbclk_cntr_cy<7>_rt LUT1 Mcount_ipbclk_cntr_cy<8>_rt LUT1 Mcount_ipbclk_cntr_cy<9>_rt LUT1 Mcount_ipbclk_cntr_cy<10>_rt LUT1 Mcount_ipbclk_cntr_cy<11>_rt LUT1 Mcount_ipbclk_cntr_cy<12>_rt LUT1 Mcount_ipbclk_cntr_cy<13>_rt LUT1 Mcount_ipbclk_cntr_cy<14>_rt LUT1 Mcount_ipbclk_cntr_cy<15>_rt LUT1 Mcount_ipbclk_cntr_cy<16>_rt LUT1 Mcount_ipbclk_cntr_cy<17>_rt LUT1 Mcount_ipbclk_cntr_cy<18>_rt LUT1 Mcount_fifo_wcntr_xor<15>_rt LUT1 Mcount_Bcnt_xor<11>_rt LUT1 Mcount_SinErr_cnt_xor<7>_rt LUT1 Mcount_TTC_cntr_xor<19>_rt LUT1 Mcount_DbErr_cnt_xor<7>_rt LUT1 Mcount_TxFB_xor<11>_rt LUT1 Mcount_ipbclk_cntr_xor<19>_rt INV V6_DONE_inv1_INV_0 INV SN[7]_inv_105_OUT<1>1_INV_0 INV SN[7]_inv_105_OUT<0>1_INV_0 INV TTCclk_INV_48_o1_INV_0 INV ipb_clk_n1_INV_0 LUT2 i_GTP_if/i_GbE_pcs_pma/BU2/U0/Mmux_TXCHARDISPVAL_INT_GND_13_o_MUX_189_o11 LUT3 i_GTP_if/i_GbE_pcs_pma/BU2/U0/Mmux_TXCHARDISPMODE_INT_TXEVEN_MUX_188_o11 LUT3 i_GTP_if/i_GbE_pcs_pma/BU2/U0/Mmux_TXCHARISK_INT_TXEVEN_MUX_187_o11 LUT2 i_GTP_if/i_GbE_pcs_pma/BU2/U0/Mmux_TXDATA_INT[7]_GND_13_o_mux_28_OUT11 LUT2 i_GTP_if/i_GbE_pcs_pma/BU2/U0/Mmux_TXDATA_INT[7]_GND_13_o_mux_28_OUT21 LUT3 i_GTP_if/i_GbE_pcs_pma/BU2/U0/Mmux_TXDATA_INT[7]_GND_13_o_mux_28_OUT31 LUT3 i_GTP_if/i_GbE_pcs_pma/BU2/U0/Mmux_TXDATA_INT[7]_GND_13_o_mux_28_OUT41 LUT2 i_GTP_if/i_GbE_pcs_pma/BU2/U0/Mmux_TXDATA_INT[7]_GND_13_o_mux_28_OUT51 LUT3 i_GTP_if/i_GbE_pcs_pma/BU2/U0/Mmux_TXDATA_INT[7]_GND_13_o_mux_28_OUT61 LUT3 i_GTP_if/i_GbE_pcs_pma/BU2/U0/Mmux_TXDATA_INT[7]_GND_13_o_mux_28_OUT71 LUT3 i_GTP_if/i_GbE_pcs_pma/BU2/U0/Mmux_TXDATA_INT[7]_GND_13_o_mux_28_OUT81 LUT3 i_GTP_if/i_GbE_pcs_pma/BU2/U0/Mmux_RXCHARISK_TXCHARISK_INT_MUX_180_o11 LUT3 i_GTP_if/i_GbE_pcs_pma/BU2/U0/Mmux_RXCHARISCOMMA_TXCHARISK_INT_MUX_181_o11 LUT3 i_GTP_if/i_GbE_pcs_pma/BU2/U0/Mmux_RXDATA[7]_TXDATA_INT[7]_mux_18_OUT11 LUT3 i_GTP_if/i_GbE_pcs_pma/BU2/U0/Mmux_RXDATA[7]_TXDATA_INT[7]_mux_18_OUT21 LUT3 i_GTP_if/i_GbE_pcs_pma/BU2/U0/Mmux_RXDATA[7]_TXDATA_INT[7]_mux_18_OUT31 LUT3 i_GTP_if/i_GbE_pcs_pma/BU2/U0/Mmux_RXDATA[7]_TXDATA_INT[7]_mux_18_OUT41 LUT3 i_GTP_if/i_GbE_pcs_pma/BU2/U0/Mmux_RXDATA[7]_TXDATA_INT[7]_mux_18_OUT51 LUT3 i_GTP_if/i_GbE_pcs_pma/BU2/U0/Mmux_RXDATA[7]_TXDATA_INT[7]_mux_18_OUT61 LUT3 i_GTP_if/i_GbE_pcs_pma/BU2/U0/Mmux_RXDATA[7]_TXDATA_INT[7]_mux_18_OUT71 LUT3 i_GTP_if/i_GbE_pcs_pma/BU2/U0/Mmux_RXDATA[7]_TXDATA_INT[7]_mux_18_OUT81 LUT2 i_GTP_if/i_GbE_pcs_pma/BU2/U0/Mmux_RXNOTINTABLE_GND_13_o_MUX_177_o11 LUT2 i_GTP_if/i_GbE_pcs_pma/BU2/U0/Mmux_RXDISPERR_GND_13_o_MUX_178_o11 LUT2 i_GTP_if/i_GbE_pcs_pma/BU2/U0/Mmux_RXBUFSTATUS[1]_GND_13_o_mux_19_OUT21 Section 6 - IOB Properties -------------------------- +---------------------------------------------------------------------------------------------------------------------------------------------------------+ | IOB Name | Type | Direction | IO Standard | Diff | Drive | Slew | Reg (s) | Resistor | IOB | | | | | | Term | Strength | Rate | | | Delay | +---------------------------------------------------------------------------------------------------------------------------------------------------------+ | CLK1_en<0> | IOB | OUTPUT | LVCMOS33 | | 2 | SLOW | | | | | CLK1_en<1> | IOB | OUTPUT | LVCMOS33 | | 2 | SLOW | | | | | CLK1_en<2> | IOB | OUTPUT | LVCMOS33 | | 2 | SLOW | | | | | CLK1_en<3> | IOB | OUTPUT | LVCMOS33 | | 2 | SLOW | | | | | CLK1_en<4> | IOB | OUTPUT | LVCMOS33 | | 2 | SLOW | | | | | CLK1_en<5> | IOB | OUTPUT | LVCMOS33 | | 2 | SLOW | | | | | CLK1_en<6> | IOB | OUTPUT | LVCMOS33 | | 2 | SLOW | | | | | CLK1_en<7> | IOB | OUTPUT | LVCMOS33 | | 2 | SLOW | | | | | CLK1_en<8> | IOB | OUTPUT | LVCMOS33 | | 2 | SLOW | | | | | CLK1_en<9> | IOB | OUTPUT | LVCMOS33 | | 2 | SLOW | | | | | CLK1_en<10> | IOB | OUTPUT | LVCMOS33 | | 2 | SLOW | | | | | CLK1_en<11> | IOB | OUTPUT | LVCMOS33 | | 2 | SLOW | | | | | FLASH_C | IOB | OUTPUT | LVCMOS33 | | 12 | FAST | ODDR | PULLDOWN | | | FLASH_D | IOB | OUTPUT | LVCMOS33 | | 12 | FAST | OFF | | | | FLASH_Q | IOB | INPUT | LVCMOS33 | | | | IFF | | | | FLASH_S | IOB | OUTPUT | LVCMOS33 | | 12 | FAST | | PULLUP | | | FLASH_S2 | IOB | OUTPUT | LVCMOS33 | | 12 | SLOW | | PULLUP | | | FSIO_CS_B<0> | IOB | BIDIR | LVCMOS33 | | 12 | SLOW | | PULLUP | | | FSIO_CS_B<1> | IOB | BIDIR | LVCMOS33 | | 12 | SLOW | | PULLUP | | | FSIO_CS_B<2> | IOB | OUTPUT | LVCMOS33 | | 12 | SLOW | | PULLUP | | | FSIO_CS_B<3> | IOB | OUTPUT | LVCMOS33 | | 12 | SLOW | | PULLUP | | | FSIO_MISO | IOB | OUTPUT | LVCMOS33 | | 12 | FAST | | | | | FSIO_MOSI | IOB | INPUT | LVCMOS33 | | | | IFF | | | | FSIO_SCAN | IOB | INPUT | LVCMOS33 | | | | | PULLUP | | | FSIO_SCK | IOB | INPUT | LVCMOS33 | | | | | PULLUP | | | GPLED_B<0> | IOB | INPUT | LVCMOS33 | | | | | PULLUP | | | GPLED_B<1> | IOB | INPUT | LVCMOS33 | | | | | PULLUP | | | GPLED_B<2> | IOB | INPUT | LVCMOS33 | | | | | PULLUP | | | GPLED_B<3> | IOB | INPUT | LVCMOS33 | | | | | PULLUP | | | GbE_RXN | IPAD | INPUT | | | | | | | | | GbE_RXP | IPAD | INPUT | | | | | | | | | GbE_TXN | OPAD | OUTPUT | | | | | | | | | GbE_TXP | OPAD | OUTPUT | | | | | | | | | LINK_RXN | IPAD | INPUT | | | | | | | | | LINK_RXP | IPAD | INPUT | | | | | | | | | LINK_TXN | OPAD | OUTPUT | | | | | | | | | LINK_TXP | OPAD | OUTPUT | | | | | | | | | REFCLK_N | IPAD | INPUT | | | | | | | | | REFCLK_P | IPAD | INPUT | | | | | | | | | RxFB_n<1> | IOB | INPUT | LVDS_33 | TRUE | | | | | | | RxFB_n<2> | IOB | INPUT | LVDS_33 | TRUE | | | | | | | RxFB_n<3> | IOB | INPUT | LVDS_33 | TRUE | | | | | | | RxFB_n<4> | IOB | INPUT | LVDS_33 | TRUE | | | | | | | RxFB_n<5> | IOB | INPUT | LVDS_33 | TRUE | | | | | | | RxFB_n<6> | IOB | INPUT | LVDS_33 | TRUE | | | | | | | RxFB_n<7> | IOB | INPUT | LVDS_33 | TRUE | | | | | | | RxFB_n<8> | IOB | INPUT | LVDS_33 | TRUE | | | | | | | RxFB_n<9> | IOB | INPUT | LVDS_33 | TRUE | | | | | | | RxFB_n<10> | IOB | INPUT | LVDS_33 | TRUE | | | | | | | RxFB_n<11> | IOB | INPUT | LVDS_33 | TRUE | | | | | | | RxFB_n<12> | IOB | INPUT | LVDS_33 | TRUE | | | | | | | RxFB_p<1> | IOB | INPUT | LVDS_33 | TRUE | | | IFF | | | | RxFB_p<2> | IOB | INPUT | LVDS_33 | TRUE | | | IFF | | | | RxFB_p<3> | IOB | INPUT | LVDS_33 | TRUE | | | IFF | | | | RxFB_p<4> | IOB | INPUT | LVDS_33 | TRUE | | | IFF | | | | RxFB_p<5> | IOB | INPUT | LVDS_33 | TRUE | | | IFF | | | | RxFB_p<6> | IOB | INPUT | LVDS_33 | TRUE | | | IFF | | | | RxFB_p<7> | IOB | INPUT | LVDS_33 | TRUE | | | IFF | | | | RxFB_p<8> | IOB | INPUT | LVDS_33 | TRUE | | | IFF | | | | RxFB_p<9> | IOB | INPUT | LVDS_33 | TRUE | | | IFF | | | | RxFB_p<10> | IOB | INPUT | LVDS_33 | TRUE | | | IFF | | | | RxFB_p<11> | IOB | INPUT | LVDS_33 | TRUE | | | IFF | | | | RxFB_p<12> | IOB | INPUT | LVDS_33 | TRUE | | | IFF | | | | S2V_n | IOBS | OUTPUT | LVDS_25 | | | | | | | | S2V_p | IOBM | OUTPUT | LVDS_25 | | | | | | | | SEL_TTC_CLK | IOB | OUTPUT | LVCMOS33 | | 2 | SLOW | | | | | SN_IN<0> | IOB | INPUT | LVCMOS33 | | | | | PULLUP | | | SN_IN<1> | IOB | INPUT | LVCMOS33 | | | | | PULLUP | | | SN_IN<2> | IOB | INPUT | LVCMOS33 | | | | | PULLUP | | | SN_IN<3> | IOB | INPUT | LVCMOS33 | | | | | PULLUP | | | SN_IN<4> | IOB | INPUT | LVCMOS33 | | | | | PULLUP | | | SN_IN<5> | IOB | INPUT | LVCMOS33 | | | | | PULLUP | | | SN_IN<6> | IOB | INPUT | LVCMOS33 | | | | | PULLUP | | | SN_IN<7> | IOB | INPUT | LVCMOS33 | | | | | PULLUP | | | SN_IN<8> | IOB | INPUT | LVCMOS33 | | | | | PULLUP | | | SN_IN<9> | IOB | INPUT | LVCMOS33 | | | | | PULLUP | | | T1_CS_B | IOB | OUTPUT | LVCMOS25 | | 12 | FAST | | | | | T1_MISO | IOB | INPUT | LVCMOS25 | | | | | | | | T1_MOSI | IOB | OUTPUT | LVCMOS25 | | 12 | FAST | | | | | T1_SCK | IOB | OUTPUT | LVCMOS25 | | 12 | FAST | | | | | TTC_REFCLK | IOB | INPUT | LVCMOS33 | | | | | | | | TTCdata_n | IOB | INPUT | LVDS_33 | TRUE | | | | | | | TTCdata_p | IOB | INPUT | LVDS_33 | TRUE | | | IDDR | | | | TxFB_n<1> | IOBS | OUTPUT | LVDS_33 | | | | | | | | TxFB_n<2> | IOBS | OUTPUT | LVDS_33 | | | | | | | | TxFB_n<3> | IOBS | OUTPUT | LVDS_33 | | | | | | | | TxFB_n<4> | IOBS | OUTPUT | LVDS_33 | | | | | | | | TxFB_n<5> | IOBS | OUTPUT | LVDS_33 | | | | | | | | TxFB_n<6> | IOBS | OUTPUT | LVDS_33 | | | | | | | | TxFB_n<7> | IOBS | OUTPUT | LVDS_33 | | | | | | | | TxFB_n<8> | IOBS | OUTPUT | LVDS_33 | | | | | | | | TxFB_n<9> | IOBS | OUTPUT | LVDS_33 | | | | | | | | TxFB_n<10> | IOBS | OUTPUT | LVDS_33 | | | | | | | | TxFB_n<11> | IOBS | OUTPUT | LVDS_33 | | | | | | | | TxFB_n<12> | IOBS | OUTPUT | LVDS_33 | | | | | | | | TxFB_p<1> | IOBM | OUTPUT | LVDS_33 | | | | | | | | TxFB_p<2> | IOBM | OUTPUT | LVDS_33 | | | | | | | | TxFB_p<3> | IOBM | OUTPUT | LVDS_33 | | | | | | | | TxFB_p<4> | IOBM | OUTPUT | LVDS_33 | | | | | | | | TxFB_p<5> | IOBM | OUTPUT | LVDS_33 | | | | | | | | TxFB_p<6> | IOBM | OUTPUT | LVDS_33 | | | | | | | | TxFB_p<7> | IOBM | OUTPUT | LVDS_33 | | | | | | | | TxFB_p<8> | IOBM | OUTPUT | LVDS_33 | | | | | | | | TxFB_p<9> | IOBM | OUTPUT | LVDS_33 | | | | | | | | TxFB_p<10> | IOBM | OUTPUT | LVDS_33 | | | | | | | | TxFB_p<11> | IOBM | OUTPUT | LVDS_33 | | | | | | | | TxFB_p<12> | IOBM | OUTPUT | LVDS_33 | | | | | | | | V2S_n | IOB | INPUT | LVDS_25 | TRUE | | | | | | | V2S_p | IOB | INPUT | LVDS_25 | TRUE | | | | | | | V6_CCLK | IOB | OUTPUT | LVCMOS25 | | 12 | FAST | ODDR | | | | V6_CDATA | IOB | OUTPUT | LVCMOS25 | | 12 | FAST | | | | | V6_DONE | IOB | INPUT | LVCMOS25 | | | | | | | | V6_INIT_B | IOB | INPUT | LVCMOS25 | | | | IFF | PULLUP | | | V6_PROG_B | IOB | OUTPUT | LVCMOS25 | | 12 | FAST | | | | +---------------------------------------------------------------------------------------------------------------------------------------------------------+ Section 7 - RPMs ---------------- i_GTP_if/i_GbE_pcs_pma/BU2/hset Section 8 - Guide Report ------------------------ Guide not run on this design. Section 9 - Area Group and Partition Summary -------------------------------------------- Partition Implementation Status ------------------------------- No Partitions were found in this design. ------------------------------- Area Group Information ---------------------- No area groups were found in this design. ---------------------- Section 10 - Timing Report -------------------------- A logic-level (pre-route) timing report can be generated by using Xilinx static timing analysis tools, Timing Analyzer (GUI) or TRCE (command line), with the mapped NCD and PCF files. Please note that this timing report will be generated using estimated delay information. For accurate numbers, please generate a timing report with the post Place and Route NCD file. For more information about the Timing Analyzer, consult the Xilinx Timing Analyzer Reference Manual; for more information about TRCE, consult the Xilinx Command Line Tools User Guide "TRACE" chapter. Section 11 - Configuration String Details ----------------------------------------- DCM "i_DCM_TTCclk": CLKDV_DIVIDE:2.0 CLKIN_DIVIDE_BY_2:FALSE CLKOUT_PHASE_SHIFT:FIXED CLK_FEEDBACK:1X DESKEW_ADJUST:5 DFS_FREQUENCY_MODE:LOW DLL_FREQUENCY_MODE:LOW DSS_MODE:NONE DUTY_CYCLE_CORRECTION:TRUE STARTUP_WAIT:FALSE VERY_HIGH_FREQUENCY:FALSE CLKFX_DIVIDE = 1 CLKFX_MULTIPLY = 4 CLKIN_PERIOD = 25.0 PHASE_SHIFT = -40 DCM "i_sysclk_dcm": CLKDV_DIVIDE:4.0 CLKIN_DIVIDE_BY_2:FALSE CLKOUT_PHASE_SHIFT:NONE CLK_FEEDBACK:1X DESKEW_ADJUST:5 DFS_FREQUENCY_MODE:LOW DLL_FREQUENCY_MODE:LOW DSS_MODE:NONE DUTY_CYCLE_CORRECTION:TRUE STARTUP_WAIT:FALSE VERY_HIGH_FREQUENCY:FALSE CLKFX_DIVIDE = 16 CLKFX_MULTIPLY = 2 CLKIN_PERIOD = 10.0 PHASE_SHIFT = 0 Section 12 - Control Set Information ------------------------------------ +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ | Clock Signal | Reset Signal | Set Signal | Enable Signal | Slice Load Count | Bel Load Count | +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ | DNA_clk | | | | 3 | 9 | | DNA_clk | | | shift_DNA_2 | 14 | 57 | +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ | ICAP_clk | | | | 6 | 16 | | ICAP_clk | | | GLOBAL_LOGIC1 | 1 | 1 | | ICAP_clk | GbEGTPreset | | | 7 | 22 | +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ | S6_SCK | | | | 2 | 6 | | S6_SCK | | | i_SPI_if/WRITE_CTL_BitCntr[4]_AND_4_o | 2 | 6 | | S6_SCK | | | i_SPI_if/_n0338_inv | 2 | 8 | | S6_SCK | | | i_SPI_if/_n0363_inv | 6 | 32 | | S6_SCK | | | i_SPI_if/_n0392_inv | 6 | 32 | | S6_SCK | | | i_SPI_if/_n0412_inv | 2 | 16 | | S6_SCK | | | i_SPI_if/_n0417_inv | 8 | 22 | | S6_SCK | FSIO_CS_I<0> | | | 6 | 9 | | S6_SCK | FSIO_CS_I<0> | | i_SPI_if/BitCntr[4]_GND_14_o_equal_17_o | 2 | 4 | +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ | TTC_REFCLK_in | | | GLOBAL_LOGIC1 | 1 | 1 | +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ | TTCclk | | | | 15 | 38 | | TTCclk | | | FMT_rec_cntr[3]_AND_23_o | 3 | 7 | | TTCclk | | | GLOBAL_LOGIC1 | 3 | 3 | | TTCclk | | | ipbclk_cntr19_sync[3]_PWR_11_o_equal_122_o | 5 | 20 | | TTCclk | | | n0029_inv | 1 | 6 | | TTCclk | BCntRes_Bcnt[11]_OR_73_o | | | 3 | 12 | | TTCclk | TTC_lock_inv | | | 1 | 1 | | TTCclk | ipbclk_cntr19_sync[3]_PWR_11_o_equal_122_o | | | 5 | 20 | | TTCclk | rec_cmd_inv | | | 2 | 6 | | TTCclk | reset | | DbErrStr_DbErr_cnt[7]_AND_39_o | 2 | 8 | | TTCclk | reset | | SinErrStr_SinErr_cnt[7]_AND_38_o | 2 | 8 | +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ | ipb_clk | | | | 25 | 61 | | ipb_clk | | | GLOBAL_LOGIC1 | 3 | 5 | | ipb_clk | | | _n0736_inv | 18 | 24 | | ipb_clk | | | i_flash/we_addr[15]_AND_59_o | 3 | 9 | | ipb_clk | | | i_ipbus/trans/iface/GND_266_o_start_AND_389_o | 8 | 32 | | ipb_clk | | | i_ipbus/trans/iface/_n0152_inv | 3 | 9 | | ipb_clk | | | i_ipbus/trans/iface/_n0155_inv | 4 | 16 | | ipb_clk | | | i_ipbus/trans/iface/dinit_dnext_d_OR_381_o | 8 | 32 | | ipb_clk | | | i_ipbus/trans/sm/_n0268 | 6 | 32 | | ipb_clk | | | i_ipbus/trans/sm/_n0272_inv | 4 | 16 | | ipb_clk | | | i_ipbus/trans/sm/ack | 15 | 32 | | ipb_clk | | | i_ipbus/trans/sm/state_FSM_FFd1 | 15 | 32 | | ipb_clk | | | i_ipbus/trans/tx_hdr | 8 | 29 | | ipb_clk | | | ipb_master_out_ipb_addr[15]_ipb_master_out_ipb_strobe_AND_455_o | 4 | 14 | | ipb_clk | GbEGTPreset | | | 6 | 12 | | ipb_clk | GbEGTPreset | | i_ipbus/trans/cfg_we | 1 | 1 | | ipb_clk | i_flash/en_FLASH_dl<1>_inv | | | 2 | 12 | | ipb_clk | i_flash/en_FLASH_inv | | | 3 | 12 | | ipb_clk | i_ipbus/trans/iface/GND_266_o_state[2]_equal_56_o | | i_ipbus/trans/iface/PWR_131_o_first_AND_393_o | 4 | 16 | | ipb_clk | i_ipbus/trans/iface/dinit_d_rstpot | | i_ipbus/trans/iface/dnext_dinit_d_OR_378_o | 3 | 9 | | ipb_clk | i_ipbus/trans/sm/GND_267_o_ack_OR_398_o | | ipb_master_out_ipb_strobe | 2 | 8 | | ipb_clk | i_ipbus/trans/sm/_n0268 | | i_ipbus/trans/sm/_n0280_inv | 2 | 8 | | ipb_clk | i_ipbus/trans/sm/state<2>_0 | | | 1 | 3 | | ipb_clk | i_ipbus/trans/tx_hdr | | i_ipbus/trans/sm/ack_rmw_cyc_AND_403_o | 2 | 8 | | ipb_clk | ipbclk_cntr[19]_PWR_11_o_equal_118_o | | | 5 | 20 | | ipb_clk | reset | | ipb_master_out_ipb_addr[15]_ipb_master_out_ipb_strobe_AND_457_o | 4 | 16 | | ipb_clk | sysclk_dcm_locked_reprogV6_OR_86_o | | | 11 | 33 | | ipb_clk | sysclk_dcm_locked_reprogV6_OR_86_o | | _n0724_inv | 1 | 1 | | ipb_clk | sysclk_dcm_locked_reprogV6_OR_86_o | | _n0736_inv | 18 | 24 | +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ | sysclk | | | | 759 | 2294 | | sysclk | | | GLOBAL_LOGIC1 | 5 | 22 | | sysclk | | | i_GTP_if/i_mac/ready_ce_tx_crc_OR_166_o | 1 | 8 | | sysclk | | | i_LinkFIFO/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.rd/rpntr/_n0027_inv | 7 | 21 | | sysclk | | | i_LinkFIFO/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.wr/wpntr/_n0027_inv | 5 | 21 | | sysclk | | | i_LinkFIFO/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/_n0023_inv | 2 | 9 | | sysclk | | | i_ipbus/udp_if/RARP_block/Mcount_rarp_req_block.req_count_val | 1 | 5 | | sysclk | | | i_ipbus/udp_if/RARP_block/_n0119_inv | 2 | 16 | | sysclk | | | i_ipbus/udp_if/resend/_n0030_inv | 6 | 45 | | sysclk | | | i_ipbus/udp_if/rx_packet_parser/_n0348_inv | 15 | 112 | | sysclk | | | i_ipbus/udp_if/rx_packet_parser/_n0351_inv | 31 | 233 | | sysclk | | | i_ipbus/udp_if/rx_packet_parser/_n0356_inv | 16 | 126 | | sysclk | | | i_ipbus/udp_if/rx_packet_parser/_n0364_inv | 21 | 138 | | sysclk | | | i_ipbus/udp_if/rx_packet_parser/_n0380_inv | 1 | 3 | | sysclk | | | i_ipbus/udp_if/rx_packet_parser/_n0391_inv | 3 | 13 | | sysclk | | | i_ipbus/udp_if/rx_packet_parser/_n0402_inv | 14 | 80 | | sysclk | | | i_ipbus/udp_if/rx_packet_parser/_n0434_inv | 5 | 32 | | sysclk | | | i_ipbus/udp_if/rx_packet_parser/_n0444_inv | 2 | 10 | | sysclk | | | i_ipbus/udp_if/tx_main/_n0411_inv | 2 | 11 | | sysclk | | | i_ipbus/udp_if/tx_main/_n0431_inv | 4 | 13 | | sysclk | GbEGTPreset | | | 4 | 6 | | sysclk | GbEGTPreset | | i_GTP_if/_n0043_inv | 4 | 16 | | sysclk | GbEGTPreset | | i_ipbus/udp_if/internal_ram_shim/_n0036_inv | 3 | 13 | | sysclk | GbEGTPreset | | i_ipbus/udp_if/internal_ram_shim/_n0040_inv | 2 | 13 | | sysclk | GbEGTPreset | | i_ipbus/udp_if/ipbus_out_valid | 23 | 128 | | sysclk | GbEGTPreset | | i_ipbus/udp_if/tx_transactor/_n0343_inv | 3 | 16 | | sysclk | GbEGTPreset | | i_ipbus/udp_if/tx_transactor/_n0347_inv | 4 | 16 | | sysclk | GbEGTPreset | | i_ipbus/udp_if/tx_transactor/_n0351_inv | 3 | 16 | | sysclk | GbEGTPreset | | i_ipbus/udp_if/tx_transactor/_n0355_inv | 3 | 16 | | sysclk | GbEGTPreset | | i_ipbus/udp_if/tx_transactor/_n0359_inv | 4 | 16 | | sysclk | GbEGTPreset | | i_ipbus/udp_if/tx_transactor/_n0363_inv | 3 | 16 | | sysclk | GbEGTPreset | | i_ipbus/udp_if/tx_transactor/_n0367_inv | 3 | 16 | | sysclk | GbEGTPreset | | i_ipbus/udp_if/tx_transactor/_n0371_inv | 3 | 16 | | sysclk | GbEGTPreset | | i_ipbus/udp_if/tx_transactor/_n0375_inv | 4 | 16 | | sysclk | GbEGTPreset | | i_ipbus/udp_if/tx_transactor/_n0379_inv | 4 | 16 | | sysclk | GbEGTPreset | | i_ipbus/udp_if/tx_transactor/_n0383_inv | 3 | 16 | | sysclk | GbEGTPreset | | i_ipbus/udp_if/tx_transactor/_n0387_inv | 4 | 16 | | sysclk | GbEGTPreset | | i_ipbus/udp_if/tx_transactor/_n0391_inv | 3 | 16 | | sysclk | GbEGTPreset | | i_ipbus/udp_if/tx_transactor/_n0395_inv | 3 | 16 | | sysclk | GbEGTPreset | | i_ipbus/udp_if/tx_transactor/_n0399_inv | 3 | 16 | | sysclk | GbEGTPreset | | i_ipbus/udp_if/tx_transactor/_n0403_inv | 4 | 16 | | sysclk | RxV6cmd_inv | | | 3 | 5 | | sysclk | V6_DONE_LinkFIFO_rst_OR_244_o | | | 2 | 2 | | sysclk | V6_DONE_inv | | | 3 | 12 | | sysclk | div[3]_GbErxdvld_q_OR_242_o | | | 1 | 4 | | sysclk | i_GTP_if/GbErx_reset | | | 15 | 23 | | sysclk | i_GTP_if/GbErx_reset | | i_GTP_if/i_GbE_pcs_pma/BU2/U0/SYNCHRONISATION/_n0103_inv | 1 | 2 | | sysclk | i_GTP_if/GbEtx_reset | | | 12 | 34 | | sysclk | i_GTP_if/i_GbE_pcs_pma/BU2/U0/DCM_LOCKED_SOFT_RESET_OR_2_o | | | 2 | 2 | | sysclk | i_GTP_if/i_GbE_pcs_pma/BU2/U0/RECEIVER/RESET_SYNC_STATUS_OR_51_o | | | 6 | 12 | | sysclk | i_GTP_if/i_GbE_pcs_pma/BU2/U0/RESET_INT_RXBUFSTATUS_INT[1]_OR_114_o | | | 3 | 5 | | sysclk | i_GTP_if/i_GbE_pcs_pma/BU2/U0/RESET_INT_TXBUFERR_INT_OR_113_o | | | 2 | 4 | | sysclk | i_GTP_if/i_GbE_pcs_pma/BU2/U0/SYNCHRONISATION/STATE_FSM_FFd4-In1_0 | | | 3 | 4 | | sysclk | i_GTP_if/i_mac/_n0214 | | | 5 | 8 | | sysclk | i_GTP_if/i_mac/clientemactxdvld_dl2_clientemactxd_ended_AND_69_o_inv | | | 1 | 8 | | sysclk | i_GTP_if/i_mac/reset_ce_rx_crc_OR_226_o | | | 6 | 14 | | sysclk | i_GTP_if/i_mac/reset_ce_tx_crc_OR_175_o | | | 4 | 12 | | sysclk | i_GTP_if/i_mac/reset_clientemactxdlast_OR_167_o | | i_GTP_if/i_mac/clientemactxdvld_tx_header[1]_AND_64_o | 1 | 2 | | sysclk | i_GTP_if/i_mac/reset_clientemactxdvld_dl2_OR_173_o | | i_GTP_if/i_mac/sel_FCS<2> | 1 | 2 | | sysclk | i_GTP_if/i_mac/reset_gap_cnt[4]_OR_170_o | | GbEtxdvld | 1 | 3 | | sysclk | i_GTP_if/i_mac/reset_sel_FCS[2]_OR_168_o | | i_GTP_if/i_mac/gap_cnt<4>_inv | 1 | 4 | | sysclk | i_flash/clk_toggle_q_addr[15]_AND_53_o_inv | | | 1 | 4 | | sysclk | i_ipbus/udp_if/ARP/_n0113 | | | 1 | 2 | | sysclk | i_ipbus/udp_if/ARP/mac_rx_valid_pkt_drop_arp_AND_134_o_inv | | | 2 | 8 | | sysclk | i_ipbus/udp_if/ARP/rx_reset_0 | | | 1 | 3 | | sysclk | i_ipbus/udp_if/ARP/send_packet.send_pending_inv | | | 1 | 3 | | sysclk | i_ipbus/udp_if/RARP_block/Mcount_rarp_req_block.req_count_val | | i_ipbus/udp_if/RARP_block/tick | 1 | 6 | | sysclk | i_ipbus/udp_if/RARP_block/Mcount_tick_counter.counter_int_val | | | 6 | 24 | | sysclk | i_ipbus/udp_if/RARP_block/rarp_we_sig_send_packet.last_we_AND_111_o_inv | | | 1 | 3 | | sysclk | i_ipbus/udp_if/internal_ram_shim/rxram_req_send_inv | | | 2 | 13 | | sysclk | i_ipbus/udp_if/payload/_n0304 | | | 1 | 2 | | sysclk | i_ipbus/udp_if/payload/mac_rx_valid_pkt_drop_payload_AND_166_o_inv | | | 2 | 8 | | sysclk | i_ipbus/udp_if/payload/rx_reset_0 | | | 2 | 3 | | sysclk | i_ipbus/udp_if/ping/_n0198 | | | 2 | 5 | | sysclk | i_ipbus/udp_if/ping/mac_rx_valid_pkt_drop_ping_AND_188_o_inv | | | 2 | 8 | | sysclk | i_ipbus/udp_if/rx_byte_sum/_n0077 | | | 2 | 2 | | sysclk | i_ipbus/udp_if/rx_ram_mux/_n0075 | | | 3 | 13 | | sysclk | i_ipbus/udp_if/rx_ram_mux/ram_ready_inv | | | 5 | 20 | | sysclk | i_ipbus/udp_if/rx_reset | | | 12 | 14 | | sysclk | i_ipbus/udp_if/rx_reset | | GbErxdvld | 6 | 42 | | sysclk | i_ipbus/udp_if/rx_reset | | i_ipbus/udp_if/payload/_n0346_inv | 2 | 16 | | sysclk | i_ipbus/udp_if/rx_reset | | i_ipbus/udp_if/payload/_n0374_inv | 2 | 14 | | sysclk | i_ipbus/udp_if/rx_reset | | i_ipbus/udp_if/rx_packet_parser/mac_rx_valid_broadcast.pkt_mask[5]_AND_245_o | 1 | 6 | | sysclk | i_ipbus/udp_if/rx_reset | | i_ipbus/udp_if/status_request | 1 | 2 | | sysclk | i_ipbus/udp_if/status/_n0107 | | | 2 | 5 | | sysclk | i_ipbus/udp_if/status/mac_rx_valid_pkt_drop_status_AND_201_o_inv | | | 4 | 8 | | sysclk | i_ipbus/udp_if/status/send_pending_inv | | | 1 | 4 | | sysclk | i_ipbus/udp_if/status_buffer/_n0211 | | i_ipbus/udp_if/status_buffer/_n0253_inv | 7 | 28 | | sysclk | i_ipbus/udp_if/status_buffer/_n0216 | | | 2 | 2 | | sysclk | i_ipbus/udp_if/status_buffer/_n0240 | | | 2 | 2 | | sysclk | i_ipbus/udp_if/status_buffer/_n0245 | | i_ipbus/udp_if/pkt_rcvd | 31 | 128 | | sysclk | i_ipbus/udp_if/status_buffer/_n02111 | | i_ipbus/udp_if/status_buffer/_n0253_inv1 | 4 | 28 | | sysclk | i_ipbus/udp_if/status_buffer/_n021111 | | i_ipbus/udp_if/status_buffer/_n0253_inv11 | 7 | 28 | | sysclk | i_ipbus/udp_if/status_buffer/_n021112 | | i_ipbus/udp_if/status_buffer/_n0253_inv12 | 5 | 28 | | sysclk | i_ipbus/udp_if/status_buffer/ready_inv | | | 2 | 6 | | sysclk | i_ipbus/udp_if/status_buffer/rst_ipb_125_rst_ipb_125_MUX_1261_o | | | 2 | 2 | | sysclk | i_ipbus/udp_if/tx_byte_sum/_n0077 | | | 2 | 2 | | sysclk | i_ipbus/udp_if/tx_transactor/pkt_resend_inv | | | 5 | 6 | +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ | ~DNA_clk | | | | 1 | 1 | +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ | ~GbEGTPreset | | | | 1 | 1 | +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ | ~S6_SCK | i_SPI_if/_n0326 | | | 5 | 8 | +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ | ~TTC_lock_inv | | | | 1 | 1 | +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ | ~sysclk_dcm_locked_reprogV6_OR_86_o | | | | 1 | 1 | +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ Section 13 - Utilization by Hierarchy ------------------------------------- +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ | Module | Partition | Slices* | Slice Reg | LUTs | LUTRAM | BRAM/FIFO | DSP48A1 | BUFG | BUFIO | BUFR | DCM | PLL_ADV | Full Hierarchical Name | +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ | AMC13_T2/ | | 235/2027 | 450/5172 | 484/4398 | 7/28 | 0/37 | 0/0 | 7/7 | 0/0 | 0/0 | 2/2 | 0/0 | AMC13_T2 | | +i_GTP_if | | 6/192 | 16/327 | 18/399 | 0/18 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_GTP_if | | ++i_GbE_pcs_pma | | 0/84 | 0/155 | 0/126 | 0/8 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_GTP_if/i_GbE_pcs_pma | | +++BU2 | | 0/84 | 0/155 | 0/126 | 0/8 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_GTP_if/i_GbE_pcs_pma/BU2 | | ++++U0 | | 20/84 | 38/155 | 16/126 | 0/8 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_GTP_if/i_GbE_pcs_pma/BU2/U0 | | +++++RECEIVER | | 34/34 | 57/57 | 54/54 | 8/8 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_GTP_if/i_GbE_pcs_pma/BU2/U0/RECEIVER | | +++++SYNCHRONISATION | | 8/8 | 10/10 | 18/18 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_GTP_if/i_GbE_pcs_pma/BU2/U0/SYNCHRONISATION | | +++++SYNC_SIGNAL_DETECT | | 1/1 | 2/2 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_GTP_if/i_GbE_pcs_pma/BU2/U0/SYNC_SIGNAL_DETECT | | +++++TRANSMITTER | | 21/21 | 48/48 | 38/38 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_GTP_if/i_GbE_pcs_pma/BU2/U0/TRANSMITTER | | ++i_S6Link_GbE | | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_GTP_if/i_S6Link_GbE | | +++tile0_S6Link_GbE_i | | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_GTP_if/i_S6Link_GbE/tile0_S6Link_GbE_i | | ++i_mac | | 44/102 | 86/156 | 95/255 | 10/10 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_GTP_if/i_mac | | +++i_rx_CRC32D8 | | 29/29 | 35/35 | 81/81 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_GTP_if/i_mac/i_rx_CRC32D8 | | +++i_tx_CRC32D8 | | 29/29 | 35/35 | 79/79 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_GTP_if/i_mac/i_tx_CRC32D8 | | +i_LinkFIFO | | 0/37 | 0/57 | 0/69 | 0/0 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_LinkFIFO | | ++U0 | | 0/37 | 0/57 | 0/69 | 0/0 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_LinkFIFO/U0 | | +++xst_fifo_generator | | 0/37 | 0/57 | 0/69 | 0/0 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_LinkFIFO/U0/xst_fifo_generator | | ++++gconvfifo.rf | | 0/37 | 0/57 | 0/69 | 0/0 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_LinkFIFO/U0/xst_fifo_generator/gconvfifo.rf | | +++++grf.rf | | 0/37 | 0/57 | 0/69 | 0/0 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_LinkFIFO/U0/xst_fifo_generator/gconvfifo.rf/grf.rf | | ++++++gntv_or_sync_fifo.gl0.rd | | 0/18 | 0/26 | 0/35 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_LinkFIFO/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.rd | | +++++++gr1.rfwft | | 3/3 | 4/4 | 2/2 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_LinkFIFO/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.rd/gr1.rfwft | | +++++++grss.rsts | | 2/6 | 1/1 | 2/14 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_LinkFIFO/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.rd/grss.rsts | | ++++++++c1 | | 2/2 | 0/0 | 6/6 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_LinkFIFO/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.rd/grss.rsts/c1 | | ++++++++c2 | | 2/2 | 0/0 | 6/6 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_LinkFIFO/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.rd/grss.rsts/c2 | | +++++++rpntr | | 9/9 | 21/21 | 19/19 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_LinkFIFO/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.rd/rpntr | | ++++++gntv_or_sync_fifo.gl0.wr | | 1/15 | 0/22 | 1/32 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_LinkFIFO/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.wr | | +++++++gwss.wsts | | 1/5 | 1/1 | 1/13 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_LinkFIFO/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.wr/gwss.wsts | | ++++++++c0 | | 2/2 | 0/0 | 6/6 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_LinkFIFO/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.wr/gwss.wsts/c0 | | ++++++++c1 | | 2/2 | 0/0 | 6/6 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_LinkFIFO/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.wr/gwss.wsts/c1 | | +++++++wpntr | | 9/9 | 21/21 | 18/18 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_LinkFIFO/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.wr/wpntr | | ++++++gntv_or_sync_fifo.mem | | 4/4 | 9/9 | 2/2 | 0/0 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_LinkFIFO/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem | | +++++++gbm.gbmg.gbmga.ngecc.bmg | | 0/0 | 0/0 | 0/0 | 0/0 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_LinkFIFO/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg | | ++++++++gnativebmg.native_blk_mem_gen | | 0/0 | 0/0 | 0/0 | 0/0 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_LinkFIFO/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/gnativebmg.native_blk_mem_gen | | +++++++++valid.cstr | | 0/0 | 0/0 | 0/0 | 0/0 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_LinkFIFO/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/gnativebmg.native_blk_mem_gen/valid.cstr | | ++++++++++ramloop[0].ram.r | | 0/0 | 0/0 | 0/0 | 0/0 | 0/1 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_LinkFIFO/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r | | +++++++++++s6_noinit.ram | | 0/0 | 0/0 | 0/0 | 0/0 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_LinkFIFO/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram | | +i_SPI_if | | 77/77 | 143/143 | 207/207 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_SPI_if | | +i_flash | | 29/29 | 50/50 | 64/64 | 3/3 | 0/2 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_flash | | ++i_rbuf | | 0/0 | 0/0 | 0/0 | 0/0 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_flash/i_rbuf | | ++i_wbuf | | 0/0 | 0/0 | 0/0 | 0/0 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_flash/i_wbuf | | +i_ipbus | | 1/1457 | 0/4145 | 1/3175 | 0/0 | 0/34 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_ipbus | | ++trans | | 0/224 | 0/296 | 0/382 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_ipbus/trans | | +++cfg | | 17/17 | 1/1 | 17/17 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_ipbus/trans/cfg | | +++iface | | 94/94 | 131/131 | 159/159 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_ipbus/trans/iface | | +++sm | | 113/113 | 164/164 | 206/206 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_ipbus/trans/sm | | ++udp_if | | 8/1232 | 1/3849 | 8/2792 | 0/0 | 0/34 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_ipbus/udp_if | | +++ARP | | 55/55 | 177/177 | 134/134 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_ipbus/udp_if/ARP | | +++IPADDR | | 46/46 | 173/173 | 116/116 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_ipbus/udp_if/IPADDR | | +++RARP_block | | 72/72 | 436/436 | 258/258 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_ipbus/udp_if/RARP_block | | +++clock_crossing_if | | 22/22 | 59/59 | 24/24 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_ipbus/udp_if/clock_crossing_if | | +++internal_ram | | 0/0 | 0/0 | 0/0 | 0/0 | 2/2 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_ipbus/udp_if/internal_ram | | +++internal_ram_selector | | 10/10 | 17/17 | 9/9 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_ipbus/udp_if/internal_ram_selector | | +++internal_ram_shim | | 10/10 | 42/42 | 16/16 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_ipbus/udp_if/internal_ram_shim | | +++ipbus_rx_ram | | 2/2 | 0/0 | 2/2 | 0/0 | 16/16 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_ipbus/udp_if/ipbus_rx_ram | | +++ipbus_tx_ram | | 7/7 | 2/2 | 8/8 | 0/0 | 16/16 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_ipbus/udp_if/ipbus_tx_ram | | +++payload | | 97/97 | 272/272 | 231/231 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_ipbus/udp_if/payload | | +++ping | | 64/64 | 154/154 | 129/129 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_ipbus/udp_if/ping | | +++resend | | 16/16 | 78/78 | 41/41 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_ipbus/udp_if/resend | | +++rx_byte_sum | | 24/24 | 58/58 | 62/62 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_ipbus/udp_if/rx_byte_sum | | +++rx_packet_parser | | 141/141 | 782/782 | 429/429 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_ipbus/udp_if/rx_packet_parser | | +++rx_ram_mux | | 24/24 | 37/37 | 50/50 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_ipbus/udp_if/rx_ram_mux | | +++rx_ram_selector | | 37/37 | 85/85 | 74/74 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_ipbus/udp_if/rx_ram_selector | | +++rx_reset_block | | 5/5 | 13/13 | 7/7 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_ipbus/udp_if/rx_reset_block | | +++rx_transactor | | 4/4 | 4/4 | 3/3 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_ipbus/udp_if/rx_transactor | | +++status | | 86/86 | 182/182 | 174/174 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_ipbus/udp_if/status | | +++status_buffer | | 182/182 | 446/446 | 297/297 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_ipbus/udp_if/status_buffer | | +++tx_byte_sum | | 27/27 | 58/58 | 66/66 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_ipbus/udp_if/tx_byte_sum | | +++tx_main | | 148/148 | 392/392 | 317/317 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_ipbus/udp_if/tx_main | | +++tx_ram_selector | | 58/58 | 117/117 | 139/139 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_ipbus/udp_if/tx_ram_selector | | +++tx_transactor | | 87/87 | 264/264 | 198/198 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | AMC13_T2/i_ipbus/udp_if/tx_transactor | +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ * Slices can be packed with basic elements from multiple hierarchies. Therefore, a slice will be counted in every hierarchical module that each of its packed basic elements belong to. ** For each column, there are two numbers reported /. is the number of elements that belong to that specific hierarchical module. is the total number of elements from that hierarchical module and any lower level hierarchical modules below. *** The LUTRAM column counts all LUTs used as memory including RAM, ROM, and shift registers.