------------------------------------------------------------------------------- -- Copyright (c) 2014 Xilinx, Inc. -- All Rights Reserved ------------------------------------------------------------------------------- -- ____ ____ -- / /\/ / -- /___/ \ / Vendor : Xilinx -- \ \ \/ Version : 14.7 -- \ \ Application: XILINX CORE Generator -- / / Filename : vio_i192_o16.vhd -- /___/ /\ Timestamp : Wed May 07 10:01:18 Eastern Daylight Time 2014 -- \ \ / \ -- \___\/\___\ -- -- Design Name: VHDL Synthesis Wrapper ------------------------------------------------------------------------------- -- This wrapper is used to integrate with Project Navigator and PlanAhead LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY vio_i192_o16 IS port ( CONTROL: inout std_logic_vector(35 downto 0); ASYNC_IN: in std_logic_vector(191 downto 0); ASYNC_OUT: out std_logic_vector(15 downto 0)); END vio_i192_o16; ARCHITECTURE vio_i192_o16_a OF vio_i192_o16 IS BEGIN END vio_i192_o16_a;